Hollosi Information eXchange /HIX/
HIX TIPP 2664
Copyright (C) HIX
1997-11-14
Új cikk beküldése (a cikk tartalma az író felelőssége)
Megrendelés Lemondás
		
Uj HIX ujsag: AGYKONTROLL
-------------------------



Nem arulom el, hogy mirol szol... :-)

Jozsi. /HIX/
1 Nepszavazas '97 amerikai tukor (mind)  6 sor     (cikkei)
2 RE: *** HIX TIPP *** #2663 (mind)  14 sor     (cikkei)
3 Tomeg es suly (mind)  14 sor     (cikkei)
4 Zenelo" Toyota kontra nyest, PIKO vasut (mind)  27 sor     (cikkei)
5 egy leany Amerikaba jonne dolgozni... (mind)  11 sor     (cikkei)
6 Elkallodott szalag (mind)  34 sor     (cikkei)
7 erelmeszesedes (mind)  12 sor     (cikkei)
8 Ausztral bevandorlasi nyelvvizsga (mind)  8 sor     (cikkei)
9 Cseles porszivo (mind)  13 sor     (cikkei)
10 USA, GB (mind)  12 sor     (cikkei)
11 Szgtap-ventillatorra kapott tippek (mind)  66 sor     (cikkei)
12 Re: csomagkuldes Kanada->Magyarorszag (mind)  22 sor     (cikkei)
13 Re: BB Rt. kartya (mind)  29 sor     (cikkei)
14 November 15.: A Hold betakarja a Bika szemet (mind)  43 sor     (cikkei)
15 tartos berlet (mind)  7 sor     (cikkei)
16 Bankkartya (mind)  18 sor     (cikkei)
17 re:nem ertem ... (mind)  3 sor     (cikkei)
18 Re:Re:Turo(s)gomboc? (Toth Janosnak) (mind)  13 sor     (cikkei)
19 Helyesbites (eszo) (mind)  20 sor     (cikkei)
20 Polarizalt feny (mind)  18 sor     (cikkei)
21 Telefonszamla az Edenbol (mind)  23 sor     (cikkei)
22 olcso telefonalas Magyarorszagra (mind)  16 sor     (cikkei)
23 MetallicA Re-Load lemez megjelent!!! (mind)  8 sor     (cikkei)
24 termeszetes logaritmus (mind)  5 sor     (cikkei)
25 Thou art docogos (mind)  19 sor     (cikkei)
26 Re: bagzas (mind)  7 sor     (cikkei)
27 Re: Tavizgato (mind)  10 sor     (cikkei)
28 Re: bagzas (mind)  12 sor     (cikkei)
29 Fegyelmi a korozvenyert? (mind)  14 sor     (cikkei)
30 Felverseny-biciklit keresek (mind)  10 sor     (cikkei)
31 ikes igek (mind)  19 sor     (cikkei)
32 hitelkartya Mo.-n (mind)  15 sor     (cikkei)
33 Work from Home (mind)  15 sor     (cikkei)
34 Szg. tapegyseg (ventilator) (mind)  24 sor     (cikkei)
35 lany-kosarlabda-csapatot (mind)  22 sor     (cikkei)
36 Faludy Gyorgy (mind)  15 sor     (cikkei)
37 Re: hany kilo' egy kaloria (mind)  8 sor     (cikkei)
38 Nyestek & Toyota (mind)  13 sor     (cikkei)
39 tavizgato jav ceruzaval :-) (mind)  9 sor     (cikkei)
40 Re toyota+nyest (mind)  21 sor     (cikkei)
41 Re: audio CD (irott) (mind)  30 sor     (cikkei)
42 Re: fogyokura hidegvizzel (mind)  19 sor     (cikkei)
43 Re: Avagy miert nem mukodnek a legjobb dolgok? ;) (mind)  9 sor     (cikkei)
44 Re: *** HIX TIPP *** #2662 (mind)  14 sor     (cikkei)
45 Repul a mobil (mind)  22 sor     (cikkei)
46 re: fogyokura egy pohar vizzel ;-) (mind)  18 sor     (cikkei)
47 [Hirdetes] Hasznalt szamitogepet vennek (mind)  12 sor     (cikkei)
48 [HIRDETES] ontapado folia (mind)  5 sor     (cikkei)
49 szamitastechnikai konyvek (mind)  5 sor     (cikkei)
50 magno ekszij (mind)  3 sor     (cikkei)
51 sz.gep ventill.zaj (mind)  23 sor     (cikkei)
52 helyesirasom (mind)  9 sor     (cikkei)
53 Re: Nyestek & Toyota + fogyas (mind)  22 sor     (cikkei)
54 [HIRDETES] Jatek vonatot keresek! (mind)  1 sor     (cikkei)
55 [HIRDETES] VR451 elado (mind)  13 sor     (cikkei)
56 [HIRDETES] Elado Epson FX-1000 ill. FX-1050 nyominger (mind)  10 sor     (cikkei)
57 [HIRDETES] Telek elado (mind)  9 sor     (cikkei)
58 [HIRDETES] Butorasztalost keresek (mind)  6 sor     (cikkei)
59 [HIRDETES] Elado JAWA motorkerekpar (mind)  5 sor     (cikkei)

+ - Nepszavazas '97 amerikai tukor (mind) VÁLASZ  Feladó: (cikkei)

Ha valaki kovetni szeretne, hogy hogy alakul a vasarnapi nepszavazas
eredmenye, de csak lassan tudja elerni a hivatalos szervert
(http://www.election.hu/ ), annak talan segit az amerikai tukor:
http://election.hungary.com/

Arpi
+ - RE: *** HIX TIPP *** #2663 (mind) VÁLASZ  Feladó: (cikkei)

Szal: szeretnem tudni a PGSM kozonsegszolgalat telefonszamat (mint
ami a W900-nal a 03) Az erdekelne, van-e a PGSM-nek egy kulfoldi
ceggel roaming szerzodese...

Kedves D. Karoly !
En a PGSM-nel dolgozom, ugyhogy autentikus vagyok a temaban.
Az ugyfelszolgalat 030.
Ha megmondod az orszagot, megmondom, hogy van-e roaming szerzodesunk
vele !
-dorkopisti-
          \|/
        (o o)
---o00-(_)-00o---
>
+ - Tomeg es suly (mind) VÁLASZ  Feladó: (cikkei)

> Potkerdes: miert nez az orvos hulyenek, ha a "mennyi a sulya?" kerdesre
> azt mondod, hogy 700 Newton?
Mert a koznyelv itt is elter a fizikatol...

L.
-- 
How can you keep your head
And not go insane
When the only light at the end
Of the tunnel is another train
                                  (Mike Portnoy)

private: mailto:
office:  mailto:
+ - Zenelo" Toyota kontra nyest, PIKO vasut (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok,

mily buszkek lehetnek a Toyotasok, mert meg a nyest is oket reszesiti 
elonyben. En is Toyotas vagyok. De felre az elcet. A muanyag es gumi
csoveket, minden kocsiban megtalaljak a technikai beallitottsagu 
akrobatikus allatkak. Amikor Erlangenben voltam, ott a Mercedesesek 
es BMW-sek is panaszkodtak erre. Ok azt allitjak, hogy egy kis 
csipogo, amely banto frekin mukodik, az elegendo a vedelemhez. Lattam 
es hallottam is ilyen ku:tyu:t, tenyleg banto a hangja. Csak akkor 
szol, ha nem megy a motor es a motorhaz teteje csukott allapotban 
van. Ha itthon vagy, akkor a Conrad bolttal probalkozz, a volt 
Nepkoztarsasag uti volt Nov7. ter elotti Liszt Ferenc teren az Opera 
oldalan. Szegyen, de nem tudom, hogy az Opera feloli oldali teret is 
LF-nek nevezik-e.
Esetleg erdemes meg kiprobalni a gyermekek koreben oly nepszeru 
csipogo zenelo szerkezeteket, amelyek egy ido utan idegesitoleg 
hatnak a szulokre. 
Az OBI-ban lattam olyan autolampat, amely csipog, ha be van 
kapcsolva. Ezt tolatashoz ajanljak. Helyette lehet felnott idegesito 
gyerekjatekot is beszerelni. En a kislanyom Lambadat zenelo, maroknyi 
bugocsigajara vetettem mar szemet.

Tud valaki Budapest vagy Gyor kornyeken PIKO boltot vagy szervizt?

Jo pihenest!
udv
tonio
+ - egy leany Amerikaba jonne dolgozni... (mind) VÁLASZ  Feladó: (cikkei)

Egy ismerosom levelet tovabbitom feletek, az o keresere:
===
Diplomas logopedus olyan csaladot vagy ceget keres, ahol szukseg 
lenne a helyes beszedtechnika elsajatitasahoz egy megbizhato,
lelkiismeretes fiatalra az USA-ban.
===
Kedves Tippelok, ha van tippetek kerlek irjatok neki,Mariettanak,
mailto: cimere.

Az o neveben is elore koszonok mindenfele otletet, javaslatot, ajanlatot.
tamas
+ - Elkallodott szalag (mind) VÁLASZ  Feladó: (cikkei)

Kedves TIPP-elok,

Evekkel ezelott kaptam egy szalagot, dalokkal, es a szovegek leirasat.
A szalag elkallodott, viszont a szamok cimei megvannak. Ha valaki ezek 
alapjan raismer a felvetelre, legyen az CD vagy LP, kerem irja meg a
cimemre. Egy ismerosomnek szeretnem megvenni Karacsonyra.

Koszonettel,
Sarbo Janos

A dalok cimei:
 The fox                                 Down in the valley
 One more river                          Greensleeves
 Home on the range                       On top of old smoky 
 Red river valley                        The riddle song
 Come landlord, fill the flowing bowl    Michael, row the boat ashore
 Little brown jug                        Nobody knows the trouble I've seen
 Ilkley Moor                             Oh, freedeom
 Michael Finnigan                        Selma town
 Old John Braddleum                      We shall overcome
 Tavern in the town                      The Erie canal
 All through the night                   Johnny Todd
 Barb'ra Allen                           The mermaid
 Careless of love                        Oh Shenandoah
 Sometimes I feel like a motherless child 
 For he's a jolly good fellow            Limmerick tune
 My name is Bill Foster                  Skip to my Lou
 This old man                            Drill ye tarriers
 The farmer is the man                   A mighty song of peace
 We shall not be moved                   The canadian exile
 Hush little baby                        Jingle bells 
 Twelve days of Christmas                Chairs to mend
 Kookaburra                              How lovely is the evening
> ---------------------------------------------------------------------
+ - erelmeszesedes (mind) VÁLASZ  Feladó: (cikkei)

Hello TIPPelok,
                  azt szeretnem megtudni, hogy mi az erelmeszesedes
az erfal valik meszesse
az erfalakra belulrol rakodik a mesz
vagy ... ?



                      Hello,
                             Gabor Poczik
                             Hungary
www.tiszanet.hu/perdix     
+ - Ausztral bevandorlasi nyelvvizsga (mind) VÁLASZ  Feladó: (cikkei)

Hello mindenki

Kerdesem a kovetkezo lenne: Budapesten hol lehet ausztral bevandorlasi
nyelvvizsgat tenni, es ez milyen szintu? Ha a valaki tudja, akkor legyszi
magan mailben is kuldja mar el. 
 
GiGi 
e-mail: 
+ - Cseles porszivo (mind) VÁLASZ  Feladó: (cikkei)

>Mitol van az, hogy amikor bekapcsolom a porszivo- akarhol legyen is a
>lakasban - bekapcsol a Tv-m is? 

Ha nem megfelelo a zavarvedelme a porszippantonak(esetleg a TV-nek), akkor
ez elofordulhat es nem elkepzelhetetlen. A poszippanto jo kis aramlokest
okoz a halozaton es ha a TV keszenleti allapotba van, akkor ez a lokes
valamilyen formaban ramehet a tavrangato jeleit erzekelo elektronikara es
megzavarja azt. Ehhez viszont az kell, hogy valami rossz legyen, esetleg
bovli(piaci) keszuleket hasznalsz Elvileg ha jo minosegu Tv-t es porszivot
hasznalsz es az jo, akkor ennek nem szabad elofordulnia

GiGi 
e-mail: 
+ - USA, GB (mind) VÁLASZ  Feladó: (cikkei)

hello!

tud valaki angliaban, v. az usa- ban v.mi melot (pl babysitter,vendeglo)
amit fiuk szamara is hirdetnek? ugyanis a menyasszonyom is ki akar
menni, neki viszont nagysagrendekkel konnyebb ilyesmit talalni. a nyelv
elsajatitasa miatt szeretnenk kimenni, es nem tudom, hogy fiuknak miert
ilyen nehez a dolog. az lenne a jo, ha elobb en tudnek kimenni, mivel ha
mar tudjuk, hogy hova lesz a menet, oneki konnyebben lehetne mar
arrafele helyet keresni (gondolom en).
tehat mi a velemenyetek?

csabesz
+ - Szgtap-ventillatorra kapott tippek (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok

Multkor kerdeztemhogy hogyan lehetne szg-et halkitani.
Noss valaki azt irta ha kapok valami hasznos tanacsot akkor azt kuldjem el
a tipp-be.

A kovetkezo hasznos tanacsokat kaptam "hjozsi"-tol ,es ezert halas
koszonetem(!)  .
(Ha mar megjelentette volna O is a tippben akkor bocs az ismetlesert.)

> Szia,
> 
> En is pont igy ereztem, es a kovetkezot csinaltam:
> Egyik gep: ventillator teljesen ki, hutolemezek felulete kiegeszitve
> alkalmas rezlemezekkel. Hosszu ideje zavartalanul megy. (De csak 386 es
> 486-os gepekben alkalmazhato, mert azok alaplapjainak alkatreszei a
> termeszetes hulessel nem melegszenek meg tul.
> 
> Masik gep: ventillator tapmadzag elvag, 150 ohmos ellenallas az aramkorbe
> beforraszt --> fordulatszam felere esik, de meg jol elindul a
ventillator.
> Hangja: gyakorlatilag nulla. Ez nem tesz igy mast, mint csereli a gepben
a
> levegot szep lassan. Szerintem ez pentiumokban is hasznalhato modszer,
bar
> en csak kisebb gepben csinaltam meg.
> 
> Ettol meg nem voltam elegedett, mert a winyo hangjat sem szeretem. 
> Elso lepes: vinyoka kiszerel, autobelsobol szeleteket vag,
> gumifelfuggesztest szerkeszt, winyoka ezen log --> hangja toredeke.
> Masodik lepes: van egy winchester-leallito prograom a gepre telepitve,
ami
> egy elore beallitott ido utan leallitja a winyokat, ha nem szolnak hozza.
> (Az ido 1 - 20 perc kozott valtoztathato, vagy az is lehet hogy ne alljon
> le.) Nekem ez azert is fontos volt, mert ez a gep allandoan megy - fax
> uzemben. Ilyenkor a monitort is kikapcsolom, ventillator sem megy, alig
> fogyaszt valamit, es teljesen hangtalan. 
> 
> Prociventillator: A proci es egyebek nagyon tudnak melegedni a
> pentiumokban. Es ott is van egy kulon procihuto venti. Megoldas (ezt nem
> magamnak csinaltam, hanem a lanyom fiuanak):
> Egy jokora aluminium hutotonkot szereltem a procira, pontosabban a hazra
> ugy, hogy nekifekudjon a proci tetjenek, ezzel kikuszobolva annak a
> hutoventillatorat, es az eredmeny: jobb, mint az eredeti. (Kellemetlen
> mechanikai munka).
> 
> hjozsi
koszi
------------
> Na akkor ertem mi a gondod. Ahogy irtam, vannak un. "halk tapegyseges"
> hazak, amikben szabalyozott fordulatszamu ventillator van. A helyzet az,
> hogy a ventullator az esetek 99%-ban tul gyorsan forog -> tul hangos. Na
> abban a "halk" tapban csak akkor porgeti a ventillatort gyorsan, ha
> muszaj. Amugy nem. Es akkor tenyleg nagyon halk! Alig hallani. En is
> ilyenre akarom cserelni, mert ejjel a csondben (amikor dolgozom)
> hangosnak tunik a duruzsolas.
> Viszont ilyen tap kulon nem kaphato (nem tudok rola), csak kompletten
> hazban. Ezert elobb-utobb valoszinuleg hazat cserelek.
> A megadott cimen szerintem van ilyen haz is (Komputer Klinika), ugy
> keresd, hogy "halk hovezerlesu tap", es akkor tudni fogjak, mit is
> akarsz!
>
> Udv: Norbert
koszi neked is

Koszonettel : Balazs
+ - Re: csomagkuldes Kanada->Magyarorszag (mind) VÁLASZ  Feladó: (cikkei)

Hello Balazs!

Igazan a vamugyi dolgohoz nem ertek, de tudomasom szerint az ajandekozasnal
nem kell vamot fizetni. Erre pelda az en esetem is. Chillei rokonunk
kuldott mintasalakat (20db). Csak ugy tudta elkuldeni nekunk mint ajandek,
kulonben vamot kellett volna ra fizetni.

Peldammal remelem kicsit kisegitettelek!
Chris 

 mrt a kvvetkezu cikkben: >...
> Sziasztok,
> 
> Egy csomagot szeretnek hazakuldeni kb 40 CAD ertekben. A kerdesem, kell-e
> ra vamot fizetnie annak, akinek kuldom. Eleg kinos lenne, mert ajandekrol
> van szo.
> 
> Koszi,
> Balazs
> (Montreal)
> 
>
+ - Re: BB Rt. kartya (mind) VÁLASZ  Feladó: (cikkei)

On Tue, 11 Nov 1997 05:33:51 EST,   wrote:


>Kerdem, mi a jo fene az a 050-es kod. Elado szerint ezt a banknak kell
>tudnia.
Elado teved. Legalabbis reszben. Altalaban egy POS terminal
hasznalatakor ket bank jon be a kepbe. Az egyik bank az, amelyikkel te
szerzodesben allsz (esetedben a BB), ezt hivjak kibocsato banknak. A
masik bank az, amelyikkel a bolt szerzodesben all, ez az elfogado
bank. A vasarlas ellenerteket a bolt az elfogado banktol kapja meg, az
elfogado bank hivja le a BB-nel vezetett szamladrol a penzt. Ugyhogy
ha a bolt a bankra hivatkozik, akkor maximum az elfogado bankra
hivatkozhat. Viszont a terminalhoz (elvileg) a boltnak kell kapnia egy
kezelesi leirast, abban benne kellene lennie a hibakodoknak, es azok
magyarazatanak.
>Nagynehezen osszevakartam a penzt, es irany a BB. Az asztalracsapas utan
>tovabbra sem tudjak mi az oka, hogy nem mukodik terminalrol egyik kartya
>sem, pedig penz is van rajta boven es barmillyen automatabol tudok is
>felvenni vele penzt.
>Mit a TIPPetek, mert ha igy marad otthagyom a BB-t es keresek valami
>MOKODO bankot.

A fentiekbol kovetkezik, hogy valoszinuleg nem a BB a hibas.
Ellenprobakent probalj meg mas boltban is vasarolni, es ha ott a
kartyadat elfogadja a terminal, akkor nyertel.

Udv
--- Friczy ---
'Death is not a bug, it's a feature'
+ - November 15.: A Hold betakarja a Bika szemet (mind) VÁLASZ  Feladó: (cikkei)

Egi szomszedunk honaprol honapra egy sor csillagot kepes eltakarni,
hiszen az egbolt csillagai sokkal messzebb vannak tolunk, mint Holdunk.
Igazan fenyes csillagot azonban meglepoen ritkan takar el - ilyen esemenyre
szamithatunk november 15-en, az esti orakban. Egi kiseronk ezen az
esten eltakarja az Aldebaran nevu csillagot (Aldebaran = a Bika szeme).
Az Aldebaran a Bika csillagkep legfenyesebb csillaga, szabad szemmel
is feltuno objektum.

A kora esti orakban magunk is lathatjuk, amint a Hold egyre inkabb
megkozeliti a fenyes csillagot, majd Budapestrol nezve ugy 19 ora 35
perc tajan teljesen elfedi - az orszag mas helyein nehany perc elteres
varhato. A narancsos szinu Aldebarant a Hold "alatt", nagyjabol deli
iranyban lathatjuk majd eltunni. A mostani csillagfedes kulonos jelentosege
az, hogy a Hold eppen csak erinti az Aldebarant, pontosabban az orszag
eszaknyugati reszebol (pl. Gyorbol) nezve nem fedi el, csupan erosen
megkozeliti, mig ha delkeletrol (mondjuk Bekescsabarol) szemlelodunk,
akkor bizony maradektalanul elfedi.

A hatarvonal, ahonnan nezve a Hold eppen erinti, "surolja" az Aldebarant,
nagyjabol a Veszprem-—jpest-Kazincbarcika vonalon huzodik. E ritka
jelenseg megfigyelesere messze foldrol erkeznek csillagaszok hazankba,
ugyanis a jelenseg gondos megfigyelesevel ki lehet szamitani a Hold
"profiljat", megpedig igen nagy pontossaggal.

Ha november 15-en kora este derult az eg, magunk is megfigyelhetjuk
azt a jelenseget, amelyet Kopernikusz is latott, csaknem pontosan 500
evvel ezelott. A nagy lengyel csillagasz szamara meghatarozo elmenyt
jelentett, amikor a Hold elfedte az Aldebarant - kit tudja, talan ekkor
fogalmazodtak meg benne azok a gondolatok, amelyek vilagkepunket alapjaiban
megvaltoztattak.

                                **********

  A jelensegrol szolo honlap helye - Budapest kornyeki terkepekkel:
             http://www2.mcse.hu/naptar/97nov/okk1115.html


Tovabbi a magyarorszagi lathatosagra vonatkozo - gyakorlati informaciokkal
a TUDOMANY-ban szolgalunk.


                                 (Mizser Attila, Nyozo, Szabo Sandor, Tepi)
                                       Magyar Csillagaszati Egyesulet
+ - tartos berlet (mind) VÁLASZ  Feladó: (cikkei)

Ha van valaki a vonalban, aki tudja, hogy pontosan mit is jelent a tartos
berlet egy haszongepjarmu eseten, kerem segitsen. Ugy tunik, a
megszuntetett lizing konstrukciok helyett talaltak ki, de egy kicsit
komplikalt az egesz, nem tudjuk, milyen veszelyeket rejt. Erdemes
belevagni? 
Koszi a segitseget
Wesselenyi Andrea
+ - Bankkartya (mind) VÁLASZ  Feladó: (cikkei)

Hello,

A tipp olvasokozonseg segitseget szeretnem kerni. Egy rokonom egy 
evre Amerikaba megy dolgozni, es az anyagi tranzakciokat kartyaval 
szeretnenk rendezni.
Egy olyan lehetoseg erdekelne, hogy egy szamlahoz tartozzon ket 
kartya, az egyik itthon a masik Amerikaban. Mindket kartyval tudjunk 
fizetni, es penzt berakni a szamlara. 
Erdekelne, hogy milyen magyar bankoknal van erre lehetoseg, es 
mennyibe kerulnek?
A valaszokat elore is koszonom.


> ---------------------------------------------------
- Marso Gyula - Sysop from Hydra BBS - MOL Rt. IT -
-   -  Tel:  464-0088,  20-643-048  -
- Hydra BBS: +36-23-358-370, 0-24, 33.600 bps V32+-
> ---------------------------------------------------
+ - re:nem ertem ... (mind) VÁLASZ  Feladó: (cikkei)

Igazad van, en a matematikusokat sem ertem, pl. minden TIPP-nek van 
egy szama, ezeket sorba rakjak, a tobbit meg csak finomitani kell:))
Bela
+ - Re:Re:Turo(s)gomboc? (Toth Janosnak) (mind) VÁLASZ  Feladó: (cikkei)

Hello Janos!

    Nos. Az a helyzet, hogy en mar megneztem a gomboc recepteket a 
    Minerva Nagy Szakacskonyvben, es talaltam is ketto turosat.
    Az egyik TUROGOMBOC, a masik pegdig GYORS TUROSGOMBOC (de erre 
    mar nem emlekszem biztosan, csak arra, hogy az elkeszites nagy 
    sebessegere celoz). Ezek mindegyike ugy epul fel, hogy a turot 
    a tesztaba keverik bele. (=> nem turoval toltik a burkolatat).    

Azert koszonom a valaszokat Mindenkinek. Udv:

                        
                             -kisJoco-
+ - Helyesbites (eszo) (mind) VÁLASZ  Feladó: (cikkei)

T. TIPP!

Idokozben en is utananeztem a multkor felvetett temanak (konyvnek).
Nem Aszexualis, hanem szexualis orgazMUMUS stb., igy mindenesetre szimpatikusab
ban hangzik. Megsem vagyok  malaria-plasmodium, hogy aszexualis szaporodasi cik
lusom legyen:-(
Nem ertem, hogy hallhattuk paran felre (az en esetemet a kozepful-gyulladas mag
yarazza:-))) ). 
Erdekes, hogy 10 leveliro kozul 8 az elnyujtott jelzon csovalta a fejet,
mig 2 az o-t kerdojelezte meg. A szexualis jelzo senkinek sem 
mozgatta meg a fantaziajat. Volt azert olyan is, aki az aszexualison az agyba
(ekezet nelkul) ultetett elektrodakra asszocialt. Ha ez az a'ra, akkor soha nem
 kivanom a...
Az mar csak hab a tortan, hogy mindegyik ferfi volt.  Mindenesetre -ha mar "kot
ozkodos kedvemben" vagyok ma- akkor sem ertem, hogy miert kellett a szexualis j
elzot kihangsulyozni (nna, most senki ne kezdjen hosszu levelek irasaba!)?

Udv.:
Bea
(szemuveg nelkul)
+ - Polarizalt feny (mind) VÁLASZ  Feladó: (cikkei)

T. TIPP!

Par honapja lattam egy egeszsegugyi magazinban (TV) a kovetkezot:
egy gyogycentrum polarizalt fenyt  alkalmaz kulonbozo betegsegek
kezelesere (psoriasis, acne, vizhianyos bo"r).
A polarizalt feny allitolag az immunrendszer hatasossagat javitja,
a verkeringest serkenti, a hamosodast elosegiti.
A kezelest "barati aron" hirdettek. A cimet tudom, de ez nem a reklam
helye.

Kerdesem a kovetkezo:
- volt-e mar vki ennel a cegnel (vagy hasonlonal)?
- ha igen, milyenek a tapasztalatai?
- minn alapszik a polarizalt feny hatasa?


Koszonettel:
Bea
+ - Telefonszamla az Edenbol (mind) VÁLASZ  Feladó: (cikkei)

T. TIPP!

Nemregiben felmeno agim egyike betert egy presszoba ismerosovel
valahol  Hatvan  fele  a 21-es fouton. Julius volt, du. 3 ora  utan. 2 kolat (a
vagy coca-colat;  2 x 2dl 210 Ft-ert), 1 kavet (100 Ft) es egy hm.. ezt nem tud
om elolvasni...  fogyasztottak, ill. egyet telefonaltak a csardabol.
Termeszetesen, nem ingyen.
A telefonbeszelgetes kb. igy hangzott:
- Szia ... fel ora mulva otthon lesznuk!
- Jooo, sziaaaaa.
A pincer sem volt szegyenlos, mert igen vastagon fogott a ceruzaja. Ezert a bes
zelgetesert 450 Ft-ot szamitott fel.
Apellata nuku.

Ennel mar csak nagyobbat az a dan(?) vendeg nezhetett, akinek a millios szamlat
 hoztak ki a vacsora utan...

Tanu(l)sag: NO EDEN!
De a  pincernek is keszul az ustje (julius ota biztosan) - ti. a pokolra kivant
a'k meg nehany napig:-(

Udv.:
Bea
+ - olcso telefonalas Magyarorszagra (mind) VÁLASZ  Feladó: (cikkei)

Koszonet mindenkinek aki megnezte a lapot s adott hozza informaciot.

---------------------
Sziasztok,

egy osszeallitast keszitek a web-en arrol, hogy honnan mennyiert lehet hazatale
fonalni.
Akinek van par perce kerem irja meg, hogy onan ahol o van milyen lehetosegek va
nnak a telefonalasra
s mennyibe kerul ?

http://www.elender.hu/~sgabor/phone/mo-ra.html

Kosz
   Szabo Gabor
    vagy 
+ - MetallicA Re-Load lemez megjelent!!! (mind) VÁLASZ  Feladó: (cikkei)

hali,

ha meg akarod hallgatni, venni (akar regebbi anyagokat is), akkor gyere
el hetfon (november 17.) az E-Club INGYENES bulijara a Nepligetbe 18.00-ra!

udv,

kz
+ - termeszetes logaritmus (mind) VÁLASZ  Feladó: (cikkei)

Ki tudja, hogy honnan ered az 'e' szam? 
Tolem valaki kerdezte a minap, es en bizony azt is 
elfelejtettem, hogy valaha is emlekeztem ra.

Saci
+ - Thou art docogos (mind) VÁLASZ  Feladó: (cikkei)

>Masreszt meg mindennek meg van a helye (nahat). Egy ilyen 'thou
>art' stilusu angol szovegnek is meg van a maga (jo) hangulata,
>de azert nem lenne jo ma is ilyen docogosen beszelni.
  Tamas, ezt ugye nem gondolod komolyan? Magyarul naponta hasznaljuk a
tegezest, nem docogos. A magazas se docogos. (A tetszikezes igen...)
Angolul miert volna docogos? Hamar hozza lehet szokni, hogy you are thou
artot mondasz es maskepp ragozol egy-ket iget.

>Szerintem az angol nyelv teljesen jo iranyba halad. Szep lassan
>kiirtottak belole az osszes ragozast, neveloket, ugy hogy
>mostanra mar egesz jo kis nyelv lett belole - szemben a nemettel
>hehe.
  A neveloket nem irtottak ki, tobb nevelot hasznalnak az angolban, mint a
magyarban. (Eladokent dolgozom - I'm working as _a_ salesman.)
  Es a nemettel sincsen semmi baj. Masmilyen a termeszete.


                                                    La'ng Attila D.
(latom az ekezeteket)                       
+ - Re: bagzas (mind) VÁLASZ  Feladó: (cikkei)

>A reklamtorveny ertelmeben jelenleg megengedett
>az iskolak bejarata elott ingyen cigarettat osztogatni a gyerekeknek.
  Es minden mas torveny ertelmeben is?


                                                    La'ng Attila D.
(latom az ekezeteket)                       
+ - Re: Tavizgato (mind) VÁLASZ  Feladó: (cikkei)

In article >,   (Kaczmarek Edva'rd) writes:
>> Grafitspay-t vesz, taviranyito szetkap, szurke feluleteket, amikhez a

>Grafitceruza kb. 20 HUF. Biztos nem olyan jo, de ar/teljesitmeny aranyaban
>veri a szpre't. Es me'g rajzolni is lehet vele!

Probaltam tavaly, de nem jott ossze. Egy ket napig hatasos csak.
A spray-tol meg osszekunkorodott a gumimembran.

Gabor
+ - Re: bagzas (mind) VÁLASZ  Feladó: (cikkei)

In article >,   writes:
>A dohanyreklamozok etikatlansagahoz egy adalek: a torveny eloirja, hogy a
>reklamon minimum mekkora teruleten es MILYEN MAGAS betukkel kell szere-
>pelnie a kotelezo figyelmezteto feliratnak. A reklamokon ezt be is tart-
>jak - valamilyen ultra narrow betutipussal, inkabb tudom mint olvasom a

Ezen mar en is csikorgattam a fogam. Es attol is olvashatatlanabb,
hogy keves korulotte a feher keret.
(Egyebkent nem az van a paragrafusban, hogy a plakat X szazalekat
elfoglal meretben es jol olvashatoan?)

Gabor
+ - Fegyelmi a korozvenyert? (mind) VÁLASZ  Feladó: (cikkei)

Megkerdeztem a jogtanaromat:  

Fegyelmit csak akkor lehet adni, ha az illeto a kozalkalmazotti vagy
koztisztviseloi jogviszonyabol fakado _lenyeges kotelezettseget_ szegte
meg _vetkes modon_. (Munkaviszonyban nem lehet fegyelmit adni, csak
koz*-ban)

Marpedig nalatok eppen forditva van: a kotelezettsegeteket teljesitettetek
a luserek figyelmeztetesevel. 

 Ed

 > | > | http://www.inf.bme.hu/~kedvard
          A halal tulsagosan enyhe buntetes a spammereknek.
+ - Felverseny-biciklit keresek (mind) VÁLASZ  Feladó: (cikkei)

Hello,

Keresek olcson (igy elsosorban hasznalt) felverseny-bicajt.
Nagyabol az elkepzelesem:
Szarvkormany, 27"-es, alufelnis kerek, nem feltetlenul felszerelve,
kb.10 sebesseges.
Kerem aki tud segiteni, irjon az emailemre.

Koszonettel,
Ivanka Andras
+ - ikes igek (mind) VÁLASZ  Feladó: (cikkei)

>Egyebkent a ketfele ragozas kozott mindenkeppen letezik a
 >megkulonboztetes, meg akkor is, ha kihagyod az egyes szam
 >harmadik szemelyt.
 >pl. nem azt mondod, hogy eszesz, hanem azt, hogy eszel, hasonloan
 >nem futol, hanem futsz.

Jo, rendben, ez a fajta nyelvtan abbol all, hogy szabalyokat mondunk ki, majd
felsoroljuk a kiveteleket. Ha van is ertelme a dolognak, azert az jo, ha
kevesebb a kivetel, mint a szabalyos. Ez az eszel-iszol viszont nekem kicsit
gyanus. Nehany ikes ige ami eszembe jutott, es nem l-lel ragozodik:

ugrik-ugrasz
hajlik-hajolsz
ma'lik-ma'lasz

Szoval ez egy olyan szabaly, amit nem mondanek egy magyarul tanulonak.

Balazs
(Montreal)
+ - hitelkartya Mo.-n (mind) VÁLASZ  Feladó: (cikkei)

> > Magyarorszagon a szabalyok hianya
> > es az uzleti etika igen alacsony atlagos szinthe miatt ez utobbi sajnos
> > nem tekintheto jelentos visszatarto eronek...
>         Ezert nem lesz meg legalabb 25 evig hitelkartya Magyarorszagon!

Mar van (K&H), bar az is igaz, hogy az igenylonek tobb kilonyi papirral
kell megtamogatnia az igenylest, nyilvan amiatt, mert annyira megbizik benne
a bank :o(((  Egyebkent szvsz. a magyar bankrendszer viharos tempoban
fejlodik, egy-ket ev alatt evtizednyi hatranyt dolgoznak le, noha valo igaz,
hogy me'g lenne mit javitani itt-ott (ennek a nagy resze viszont nem technika,
hanem mondjuk a bankalkalmazottak atlagos hozzaallasa vagy az ugyfelek
igenyessege...)

Udv,
KZ
+ - Work from Home (mind) VÁLASZ  Feladó: (cikkei)

Hello!

>No, ez csak a tenyallas jelenleg, es nem tudom eldonteni, hogy mi lehet
>ennek a hattereben, viszont tudok egy konkret cimet: Bp. VIII. ker. Kal-
>varia ter 7. fsz. 1. Ide kellene menni pl. szombaton 10-re.

Ezen a cimen regen az Amway Hungaria Kft. tartozkodott. Egyebkent egy 
nagy irodahaz van a helyszinen.

Udv
Husi
*****************************************
*mailto:                 *
*MAY THE BOUNCE ALWAYS BE WITH YOU !!!!!*
*****************************************
+ - Szg. tapegyseg (ventilator) (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

>>Mar regota van szamitogepem.
>>De ahogy oregszem egyre jobban gyulolom a gep tapegysegeben levo
>>ventillator folytonos monoton zugasat. ........ Udvozlettel: M.Balazs
>
>Lehet venni hofokszabalyozos tapegyseget (kb. 3500 Ft+AFA pl.
>Aspect-nel). Ez lejjebb veszi a ventillator fordulatszamat, sot le is
>allitja, ha nem kell a hutes. Igy altalaban csendesen jar, es csak a
>kanikulaban zug jobban.

Az Elektor 93/1-es szamaban megjelent egy kapcsolasi rajz:
Ez ket funkcionalis egysegbol all: a LED-es erzekelovel(!) mukodo
homerseklet-szabalyozobol es az inditokapcsolasbol. Ez a kombinacio
linearis osszefuggest biztosit a homerseklet ‚s a ventilator fordulat-
szama kozott.
A PC-ventilatorszabalyozo kapcsolasi rajz + nyakterv letoltheto szamos
BBS-rol, vagy keresre el tudom kuldeni (37 Kb).


Hi:
       << Csaba >>
Mailto:
      UIN:2766577
+ - lany-kosarlabda-csapatot (mind) VÁLASZ  Feladó: (cikkei)

> 
> Aki kosaras lanyokat ismer : adja at!
> 
> Chantepie varosa (Rennes kulvarosa, Bretagne-ban, Franciaorszagban)
> keres magyar lany kosarlabdacsapatot
> 
> 1998 majus 16-17 kozotti kosarlabdatornajara.
> 
> A tornan csak 1983-84-ben szuletett lanyok vehetnek reszt.
> Elszallasolas csaladoknal.
> 
> Erdeklodes es tovabbi reszletek :
> 
> Kuldj egy e-mailt az alabbi cimre :
> 
> 
> 
> Nem olvasom a hix-et, ezert a valasz e-mail elkerulhetetlen.
> 
> Koszonom :
> 
> Molnar Miklos
+ - Faludy Gyorgy (mind) VÁLASZ  Feladó: (cikkei)

Szevasztok!
22-en, szombaton, itt Sopronban Faludy Gyorgy es Szekhelyi
Jozsef lesz a vendegem egy irodalmi kerekasztal
beszelgetesen, melyhez nagy segitseg volna reszemre egy, a
televizioban is sugarzott (nem tul reg) hosszabb interju
Faludyval.
Tudna valaki segiteni ennek beszerzeseben ill.
kolcsonzeseben?
Momentan minden erdekel Faludyval kapcsolatban, ne
kimeljetek!
Sot, ha lenne erdekes kerdes, azt fel is tennem neki,
nevvel vagy a nelkul!

Udv
Tamas
+ - Re: hany kilo' egy kaloria (mind) VÁLASZ  Feladó: (cikkei)

 wrote:
> Azert gepeink nelkul, meg igy is elegge energiatakarekosak vagyunk: Az a
> "2000 kalorias napi etrend" egy furdokadnyi (100 liter) csapvizet (17 C,
> nyar van)  eppen testhomersekletre tudna felmelegiteni.

De komolyan, gondolt mar arra fogyokurazo, hogy erdemes kevesbe futeni a
szobaban es/vagy vekonyabban oltozkodni, hogy ezzel tobb ho leadasara
kenyszeritse a testet? Egyaltalan nem biztos, hogy ez nem mukodik. P
+ - Nyestek & Toyota (mind) VÁLASZ  Feladó: (cikkei)

>Aposomek Toyota-jaban levo gumicsoveket a nyestek eloszeretettel
>eszegetik. Mar tobb esetben gondot okoztak, amikoris a javitas
>sokba kerult. Sajnos garazsuk nincsen (aposomeknak).
>
>Szivesen vennek valami jo otletet a ragcsalok tavoltartasara.
Be kell az eredeti gumicsovek helyere epiteni jofajta zsiguli
gumialkatreszeket. Annak biztos olyan szar ize van (meg talan budos is),
hogy nem eszik a nyestek. (Nekem meg sohasem volt a ven ladaban ilyen gond)
:-)
Talan meg egyszerubb magat a Toyotat zsigulira cserelni. Meg penzhez is
lehet igy jutni. :-)

hjozsi
+ - tavizgato jav ceruzaval :-) (mind) VÁLASZ  Feladó: (cikkei)

Ed irta:
>Grafitceruza kb. 20 HUF. Biztos nem olyan jo, de ar/teljesitmeny aranyaban
>veri a szpre't. Es me'g rajzolni is lehet vele!
Nem jo otlet, mar probaltam, nem valt be. Csak akkor jo, ha amugy sem
nagyon rossz a kontaktus. Hianyokat ne potol, sot ha sokat matatok ott a
ceruzaheggyel, ahol a galiba van, meg rontok is a dolgon.
A grafitspray jo lehet. Ezutan fogom kiprobalni (szamologep javitasara is).

hjozsi
+ - Re toyota+nyest (mind) VÁLASZ  Feladó: (cikkei)

Ha a ragcsaloktol sikerul megtisztitani a gumicsovet, attol meg a 
nyestek ragcsalhatnak, mivel azok nem ragcsalok...:))))))))

Szoval vagy mechanikusan vedekeztek vagy tablettaval:))))))
vagy valamilyen illatos spray-jel-mittudomenvalamimacskazavaroval...

Egyebkent honnan veszitek, hogy nyestek ragjak? Lehet, hogy mas 
ragadozo tamadja meg?

Hat ennyi....

Bocs, de nem szeretem a ragcsalo nyesteket, balnahalakat, meg egyeb 
butasagokat...

*********************************************************
Sza'ntai Ja'nos            nick: chameleon 

My email address has changed !
The new is mailto: 

Homepage: http://www.geocities.com/RainForest/Vines/1222/
+ - Re: audio CD (irott) (mind) VÁLASZ  Feladó: (cikkei)

......
> Ezek a hifistak most akkor bort papolnak es vizet isznak ?
> Vagy mar odaert a vilag hogy tenyleg nincs  kulonbseg a
> felirt lemezek eseteben?(na persze a szerz. jog!)
> Lassan egy Cd iro olcsobb lesz egy magnodeck-nel,egy lemez
> meg mar most olcsobb egy kazetanal...
> 
> Mazsola voltam

Hello!

Egyszeru a valasz: azok nem hifistak voltak, hanem kurva draga cuccokat
arusito kereskedok. Persze verbeli hifistaknak kialtjak ki magukat, de a
tulnyomo reszuknel ez hazugsag.
A tavalyi hifi-show-n kint voltam, es ez az erzes erosodott meg bennem,
es a te megfigyelesed is ezt tamasztja ala.
Az irott audio CD gyengebben olvashato (regebbi cd-jatszok gyakran el
sem olvassak), es a hibajavito rendszer dolgozik mint az allat... meg is
hallhato persze a legtobbnel, talan a tenyleg nagyon draga es profi
lejatszok tudjak ugyanugy lejatszani, mit az eredetit.

Az a hifista, aki irott cd-t dug teszt gyanant a gepebe, botcsinalta
hifista.

Persze az oka is megvan a masolt cd-nek:  a jobb teszt cd-k nagyon
dragak, es olcsobb 500 ft-ert lemasoltatni oket. Mondjuk az is igaz,
hogy aki pl. 1 millio ft-ert arul egy erositot vagy cd-t, annak legyen
meg 10-20 eft-ja egy teszt cd-re.

Norbert
+ - Re: fogyokura hidegvizzel (mind) VÁLASZ  Feladó: (cikkei)

Thu, 13 Nov 1997 14:09:08 +0100  
   (Szucsi)  irta :

>Kedves Jozsi,
>
>elnezted a nagysagrendeket! A 2 dl viz felmelegitesehez az
>adott homerseklet tartomanyban valoban 2400 kaloria kell, de
>a masfel orai futashoz 2400 kilo kaloria azaz 2400 Kcal
>szukseges. Ez pedig, hidegvizre atszamolva, 200 liter viz
>"elkortyolgatasaval" egyenerteku. Hat ezert nem mukodik...
>
>Udv, Szucsi
>
Bonyolitsuk egy kicsit a dolgot!
Ha en megiszom napi 5 uveg  14C fokos sort, akkor 
fogyok, vagy hizok? (dreher pils) :-)

> ---------------------------------------------------------
Kovacs Karoly, Zuglo (   )
+ - Re: Avagy miert nem mukodnek a legjobb dolgok? ;) (mind) VÁLASZ  Feladó: (cikkei)

Thu, 13 Nov 1997 17:10:35 +0100  
   (Hajdu Csaba)  irta :
>Potkerdes: miert nez az orvos hulyenek, ha a "mennyi a sulya?" kerdesre
>azt mondod, hogy 700 Newton?
>
Ez jo, ezt kiprobalom. :-)

> ---------------------------------------------------------
Kovacs Karoly, Zuglo (   )
+ - Re: *** HIX TIPP *** #2662 (mind) VÁLASZ  Feladó: (cikkei)

Thu, 13 Nov 1997 18:31:28 +0100  
   (Idorko)  irta :

>Azt szeretnem megtudni, hogy van e politikaval
>foglalkozo magyar nyelvu lista a halon?
>
>Kedves PeteR !
>>Van. Aki fel akar iratkozni a listara, a kovetkezo szoveggel kuldjon
>>emailt a  cimre:
>>SUBSCRIBE FORUM (vagy SUB FORUM).
>-dorkopisti-
A HVG lista politikai forum? Esetleg: is!
> ---------------------------------------------------------
Kovacs Karoly, Zuglo (   )
+ - Repul a mobil (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok TIPP topogok !

Van egy olyan tema, amibe eleg melyen belemasztam: a mobiltelefonian
belul a GSM.
Mar szinte minden tipusu mobiltelefont birtokoltam, volt mar 2 Pannon
szamom, 1 Westel.
Most ismet egy Pannon kartyat keresek megvetelre, ugyanis lefedettsege
ennek van a
cegnel. A kerdesem vegul is az, nem-e tud valaki mobiltelefonokkal vagy
GSM technikaval
kapcsolatos levelezolistarol.
A masik dolog a repules, eddig 2 evet sikloernyoztem, most at szeretnek
allni a motoros
sarkanyozasra. Ha valaki esetleg muveli a motoros sarkanyrepulest,
szivesen leveleznek
vele, de ha valaki tud egy repules temaju levelezo listarol, annak is
baromira tudnek orulni.
Az sem baj, ha a lista angol nyelvu.
Egyebkent koszonom mindenkinek a valaszokat a faxprogira.

Elore is kosz,
Markof
+ - re: fogyokura egy pohar vizzel ;-) (mind) VÁLASZ  Feladó: (cikkei)

>1 kobcenti viz 1 Celsius fokkal valo felmelegitesehez 1 kaloria szukseges.

>Ha tehat megiszom egy pohar (2 dl = 200 kobcenti) szobahomersekletu (25 C)
>vizet, annak a testhomersekletre (37 C) melegitesehez osszesen:
>200 * (37-25) = 200*12 = 2400 kaloria kell!
>WOW! Ugyennyi kaloria leadasahoz kb. fel napot kell futni!
>Akkor miert nem igy fogyokuraznak az emberek?

Csak eppen az embernek min. napi 2000 kilo kaloria kell !
					^^^^
Es ez csak az eletfunkciokhoz !
A legenergiaigenyesebb munka meg mindig a kasza'la's, csak 
utana kovetkezik a favagas. Valami negyszer energiaigenyesebb, mint a futas !
Erdekes, hogy az amerikai szerzoktol
szarmazo e'lettan tankonyvben a kaszalas mellett zarojelben Magyarorszag
szerepel.
Udv.:
Istvan
+ - [Hirdetes] Hasznalt szamitogepet vennek (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok Tippelok!

Vennek hasznalt szamitogepet 386 - Pentiumig. Tovabba erdekelne
videokartya, monitor, memoria, winchester, stb.
e-mail: >
tel.: 06-1-262-92-81
tel/fax: 06-1-262-24-84


                    (max.160 char)

            ------===<<<  >>>===------
+ - [HIRDETES] ontapado folia (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!
Betukivagasbol maradt mindenfele szinu, tobbfele gyartmanyu ontapado
foliaimtol kedvezo aron megszabadulnek. Applikacios folia es simito
ugyancsak atado.
Pete
+ - szamitastechnikai konyvek (mind) VÁLASZ  Feladó: (cikkei)

Haliho!
Van egy par szamitastechnikai temaju konyvem, amelyet igen tudnek
nelkulozni (szeretnek toluk megvalni, de kidobni nem akarom oket).
Hatha valakit erdekelnek...
Pete
+ - magno ekszij (mind) VÁLASZ  Feladó: (cikkei)

Haliho!
Elszakadt a Philips D6340 markaju kismagnom ekszija. Ha tudod hol lehet
masikat szerezni, ne titkold elolem.
+ - sz.gep ventill.zaj (mind) VÁLASZ  Feladó: (cikkei)

Tipp csak azoknak, akiknek ho"m.-szabalyozos a ta'p-ventillatoruk:

Nem hu:je'skedek, pro'ba'lja'tok ki, hogy ke'me'nyt illesztetek
a ventillator nyila'sa'hoz! Ez megoldhato',ha a ge'p helye ele'g
a'llando'nak tekintheto". A kise'rleti ke'me'nyt kartonpapirbo'l,
 haszna'lt rajzlapbo'l, etc. ragaszta'ssal elo" lehet a'llitani.
Keresztmetszete nagyja'bo'l a kifuvo'nyila'se' legyen. Nem baj,
ha az also' 'pipa' nem tul sarkos! (aramlasi ellenallas) A magas-
sa'g hata'ra (a csillagos e'g helyett) a plafon, de 1.5 m ma'r
ele'g lehet! U:gyeljetek, hogy  'falslevego"t' ne kapjon!
Ami va'rhato': 
     - A ventilla'tor sokkal ritka'bban kapcsol be.
A csu'cs:
     - Estleg nem is megy venti...(csak nya'ron, tu"zve'szkor)  

Ige'nyesebbek adnak a la'tva'nyterveze'sre is!

Jo' kise'rleteze'st, szo'rakoza'st! (NO WARRANTY!!!)

Ha elfogytak a processzorok, nincs to:bb tana'csom.

A leve'lbomba'kat az anyo'som cime're ke'rem!
                     soxeretettel: HFeri
+ - helyesirasom (mind) VÁLASZ  Feladó: (cikkei)

En irtam:
>  (a regi tipusok kozott fordult elo vizhutes, de ma mar nem
                                                          ~~~
>  nehezen talalsz)
   ~~~~~~~
Tudom, hogy mar keso, holnapra varhatoak a helyesirasi megfedesek. :)
Helyesen: mar mar ilyet nem konnyen (nehezen) talalsz

Toth Janos
+ - Re: Nyestek & Toyota + fogyas (mind) VÁLASZ  Feladó: (cikkei)

>Aposomek Toyota-jaban levo gumicsoveket a nyestek eloszeretettel
>eszegetik. Mar tobb esetben gondot okoztak, amikoris a javitas
>sokba kerult. Sajnos garazsuk nincsen (aposomeknak). 
>Szivesen vennek valami jo otletet a ragcsalok tavoltartasara.

Kedves Tamas!

Nekem is sok kart okoztak a ragcsalok, tobb dolgot kiprobaltam.
Vettem  "Marderschreck"-et aminek a szagat sem birjak allitolag, 
de az csak 4-6 hetig hatasos(?), beszortam a motohazat eros
paprikaval, bekentem itt-ott "Eros Pista"-val..., de az igazan jo
eredmenyt egy kinai vekkernek koszonhetem. Azota, hogy a
huto ala felakasztottam, nem lett tobb kar! (Fontos, hogy valami
olcso no name elemes ora legyen) 

Aki nem hiszi, probalja ki!

En is hallottam a hidegvizes fogyast, csak nem ugy. Napi ketszer,
lehetoleg hosszan hideg vizzel tusolni, segit fogyni.  
Udvozlettel: Andras

Latom a monitoromat!  :-)
+ - [HIRDETES] Jatek vonatot keresek! (mind) VÁLASZ  Feladó: (cikkei)

Hasznalt jatek vonatot keresek!
+ - [HIRDETES] VR451 elado (mind) VÁLASZ  Feladó: (cikkei)

Elado egy VR451 tipusu alig hasznalt Philips Video. Meg fel ev garancia van
ra!                                                                            
 
Csak nehany tulajdonsag:                                                       
4 fejes,felezet sebessegu lajatszas es felvetel,Auto tracking,Belso
tunner,Tokeletes allokep,tobb idozitett felvetel keszites beallitasa,
automata fejtisztitas,szupergyors szalagkezelo mechanizmus!                    
 
Iranyar: 40.000 Ft                                                             
 
Akit erdekel jelentkezen emailben:  mailto:               
 
Udv. Zoli
+ - [HIRDETES] Elado Epson FX-1000 ill. FX-1050 nyominger (mind) VÁLASZ  Feladó: (cikkei)

Hello!

Megvalnek a kituno allapotban levo subjectben feltuntetett
nyomtatoimtol. Uj festekkazettakkal. Opcionalisan lapadagoloval is.
Az 1000-es ara 15000.-
az 1050-es ara 25000.-

Az opcio megegyezes szerint.

Telegracs: 06 20 640 757
+ - [HIRDETES] Telek elado (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok !

Soskut-Oreghegyen levo 240 4szogolos gyumolcsos 2 szintes hazzal elado.
Villany, furt kut van.
Iranyar : 1.8 M Ft

Cim : Egres u. 6.

Erdeklodni 0623431552 szamon az esti orakban.
+ - [HIRDETES] Butorasztalost keresek (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok

A fenti temakorben keresek szakit v. team-et.
E-mailre v. tel-re varom a valaszokat.

Koszonettel: Buciba
+ - [HIRDETES] Elado JAWA motorkerekpar (mind) VÁLASZ  Feladó: (cikkei)

Elado egy JAWA 350ccm "kiskerku, csepptankos" ujszeru allapotban.
Iranyar 85eFt.

Seregi Peter
erd: e-mail, vagy telefon: 4377739

AGYKONTROLL ALLAT AUTO AZSIA BUDAPEST CODER DOSZ FELVIDEK FILM FILOZOFIA FORUM GURU HANG HIPHOP HIRDETES HIRMONDO HIXDVD HUDOM HUNGARY JATEK KEP KONYHA KONYV KORNYESZ KUKKER KULTURA LINUX MAGELLAN MAHAL MOBIL MOKA MOZAIK NARANCS NARANCS1 NY NYELV OTTHON OTTHONKA PARA RANDI REJTVENY SCM SPORT SZABAD SZALON TANC TIPP TUDOMANY UK UTAZAS UTLEVEL VITA WEBMESTER WINDOWS