Hollosi Information eXchange /HIX/
HIX TIPP 2801
Copyright (C) HIX
1998-04-03
Új cikk beküldése (a cikk tartalma az író felelőssége)
Megrendelés Lemondás
1 Pannon GSM pontatlan ido: FIGYELEM! (mind)  20 sor     (cikkei)
2 Vonallopas ellen... (mind)  32 sor     (cikkei)
3 Szallas Pragaban (mind)  6 sor     (cikkei)
4 re: kompakt fenycso (mind)  32 sor     (cikkei)
5 ismeretlen zenek mp3-ban 3. (mind)  25 sor     (cikkei)
6 Maroktelefon: Karos vagy nem? (mind)  20 sor     (cikkei)
7 Re: eltort vizvezetek (mind)  17 sor     (cikkei)
8 =?iso-8859-1?Q?r=E9szv=E9nyek?= (mind)  9 sor     (cikkei)
9 Koszonet (mind)  8 sor     (cikkei)
10 Csotanyszakerto kerestetik (mind)  13 sor     (cikkei)
11 koszonet (mind)  11 sor     (cikkei)
12 Telefonlopas (mind)  11 sor     (cikkei)
13 Szerintetek ez legal ? (mind)  20 sor     (cikkei)
14 Praga-i magyarokat keresek (mind)  7 sor     (cikkei)
15 Datumszamolas a gepen - help (mind)  13 sor     (cikkei)
16 Zala-info levelezolista (mind)  38 sor     (cikkei)
17 REAL MADRID (mind)  17 sor     (cikkei)
18 apr. 4.-rol szoljon a... (Fotoklub) (mind)  55 sor     (cikkei)
19 Re: Autos lista (mind)  36 sor     (cikkei)
20 Valalkozas (mind)  9 sor     (cikkei)
21 Skorpiot abr. karakterabra... (mind)  8 sor     (cikkei)
22 Re: nyari idoszamitas (mind)  16 sor     (cikkei)
23 Re: daylight saving (mind)  26 sor     (cikkei)
24 Re: Autok (mind)  16 sor     (cikkei)
25 Telekocsit keresek (mind)  10 sor     (cikkei)
26 Lakast berelne Majus 1-tol (mind)  6 sor     (cikkei)
27 wanted winyo (mind)  13 sor     (cikkei)
28 koncertfelvetel (mind)  9 sor     (cikkei)
29 Birosagi felulvizsgalat (mind)  14 sor     (cikkei)
30 repulo (mind)  34 sor     (cikkei)
31 kettos adozas Magyar-Japan es USA Japan viszonylatban (mind)  19 sor     (cikkei)
32 Re: *** HIX TIPP *** #2800 (mind)  17 sor     (cikkei)
33 kerdes (mind)  15 sor     (cikkei)
34 upponyi hegyseg,Anyegin,kioldozsinor (mind)  19 sor     (cikkei)
35 kozepso 3-ik feklampa (mind)  19 sor     (cikkei)
36 Re: katonasag (mind)  32 sor     (cikkei)
37 Tavoktatas (mind)  19 sor     (cikkei)
38 Tu-144, Concorde (mind)  22 sor     (cikkei)
39 Re: y2000 problema. (mind)  18 sor     (cikkei)
40 lezerbigyo (mind)  27 sor     (cikkei)
41 Re: Idezetek... (mind)  13 sor     (cikkei)
42 Erzekek iskolaja (mind)  3 sor     (cikkei)
43 200-asok sokat ernek!! (mind)  21 sor     (cikkei)
44 Locsolkodas#2 (mind)  15 sor     (cikkei)
45 Re:Allatokrol (mind)  20 sor     (cikkei)
46 Keresem... (mind)  11 sor     (cikkei)
47 koltozes Amerikabol (mind)  24 sor     (cikkei)
48 [HIRDETES] vitorlas eledo (mind)  11 sor     (cikkei)
49 [HIRDETES] Konfig Elado... (mind)  25 sor     (cikkei)
50 [HIRDETES] 387-es koprocit vennek (mind)  12 sor     (cikkei)
51 [HIRDETES] 2030 Concert lemezjatszo WANTED! (mind)  9 sor     (cikkei)
52 [HIRDETES] Alkatreszek kerestetnek (mind)  11 sor     (cikkei)
53 [HIRDETES] Egy kerdes (mind)  14 sor     (cikkei)
54 [HIRDETES] Az abszolut vegyes hirdetes (mind)  45 sor     (cikkei)

+ - Pannon GSM pontatlan ido: FIGYELEM! (mind) VÁLASZ  Feladó: (cikkei)

Hali!

A Pannon GSM szerinti pontos ido (080-as Pannon szamon hivhato) KESIK
kb. 5 percet a tenyleges idohoz kepest!!!

Ha a tarifa-valtas ideje is ennyivel elcsuszik (ugyanez az ora adja az
idoalapot), akkor jol megszivjak azok, akik este 8 elott 3-4 perccel
kezdenek el egy hosszabb hivast.

Ugyanis a tarifa dijazasa a HIVAS MEGKEZDESE PILLANATABAN ervenyes sav
szerint tortenik, vagyis meg a "csucsidoben", ami 2-szerese az este 8
utaninak.

Egy ilyen kaliberu cegtol az igazan elvarhato lenne, hogy +- 1-2
masodperccel a pontos idot adja meg. Mert a kb 5 perces elteres
sokmindennek nevezheto mar, de pontos idonek nem.

Es meglepo modon nem siet az ora, hanem kesik.... hmmm...

Norbert
+ - Vonallopas ellen... (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok !

   problemajara egyszeru a magyarazat:

 1. Reszletes szamlat kell kerni a hivasokrol, es felirni mindazon
    telefonszamot, amit hivtak. Felhivni az illetot, es jol megfenyegetni,
    pontosabban megkerdezni kivel beszelt az adott idopontban. Nem
    muszaj alneven bejelentkezni, csak annyit hogy jogi bonyodalmak
    lehetnek abbol, ha nem arulja el kivel beszelt, mert egy korabban
    tisztazatlan ugyben fogja az ugyeszseg beidezni. Na ettol altalaban
    beijednek a "hivott felek", es eleneklik kivel beszeltek. Aztan ha
    nehany hivas utan a nev stimmel, van konkret gyanusitott, es johet
    az irasbeli feljelentes.
 2. Letiltatni azokat a korzeteket, amit feltetelezhetoen soha nem fogsz
    hivni. Ezek a 00, 0690, stb. Ha megis egyszer hivni akarod, meg
    mindig megteheted, a kozpont kezi kapcsolassal adni tudja.
    Ami ki van tiltva, azt nem is hivhatja senki. Ha meg nem hivhatja senki,
    akkor nem is szamlazhatja ki a telefontarsasag.
 3. Letezik vonalfigyelo keszulek, ami vagy sontoli a vonalat ha ralepnek,
    vagy jelzi ha a vonal megszakadt, illetve ralepnek a keszulek es a
    kozpont kozott.
 4. Kikapcsoltatni a vonalat mig nem vagy otthon, addig sem szamlazhat.

 Ha a tiltas utan vannak hivasok a szamlan, a kozpont szamitogepen varialnak.
 Ha tudjak a PIN kodot, akkor a kozpontban serenykedik valaki, vagy
 folyamatosan
 rajta van a vonaladon, es dekodolni tudja a DTMF kodokat.

 Tapasztalataim szerint az 1. verzio a leghatasosabb, mert az emberket hamar
 meg lehet szorongatni.

 Peter.
+ - Szallas Pragaban (mind) VÁLASZ  Feladó: (cikkei)

Ismer valaki nem tul draga, szallast, 10-12 embernek Praga varosaban?
Ha lehet nem tul messze a belvarostol. Husvetkor mennenk ki.

-- 
Udv,
 Csarli
+ - re: kompakt fenycso (mind) VÁLASZ  Feladó: (cikkei)

 [Hungary] irta:


>>>Mennyi ido alatt hozza be az arat egy korszeru, fenycsoelven mukodo
>>>izzo?

>> Normal , azaz csaladi felhasznalas eseten soha. Ne higgy a
>> reklamoknak. Az arat csak ott hozza vissza, ahol 24 orat egnek a
>> villanyok.

>Szamitasaim szerint egy 60W-os izzohoz kepest a vele egy
>fenyeroseget biztosito kompakt fenycso (tehat nem neon fenycso!), a
>hosszabb elettartamot es kisebb fogyasztast figyelembe veve orankent
>es izzonkent 70 fillert hoz be. A szamitas nem veszi figyelembe az
>inflaciot es az emelkedo energiaarakat.

Nagyot csodalkozott eccer a Tungsram-uzleti elado, amikor
bebizonyitottam Neki, hogy hazugsag az amit az akcios reklamlapjuk allit
a gazdasagossagrol.
A leirt adatok ,szamitasok helyesek voltak, De egy lenyeges dolgot
"kifelejtettek" belole. Azt, hogy ha atterek kompakt fenycsore, akkor
azonnal ki kell fizetnem 5000 forintot, mig, ha izzokat veszek, akkor
azonnal csak kb. 100 at, es mondjuk felevente ujra szazakat.
Ha a NEM megvett kompakt fenycso arat [-100 Ft] lekotott betetkent
befektetem, akkor a 4900 forintnak evi cca. 980 Forint kamatja lesz.
Tehat, ha a penzemet bankbetet helyett kompakt fenycsore koltom, akkor
elesek ettol a jovedelemtol, az nekem veszteseg.
Mar nem emlekszem a konkret adatokra, de akkor az jott ki, hogy csak
szerencses esetben [ha birja mindegyik az igert elettartamot] nem
DRAGABB a kompakt fenycso.

Udv, Peter.
+ - ismeretlen zenek mp3-ban 3. (mind) VÁLASZ  Feladó: (cikkei)

Udvozlet Kedves Mindnyajotoknak!


A korabbi probalkozasaim mindent elsopro sikeren felbuzdulva felraktam 
ujabb zeneket a "kinek a mije" kerdes tanulmanyozasa celjabol. :)

Akinek lehetosege es kedve van, kukkantson el a 

    http://goliat.eik.bme.hu/~szcs/mp3 

cimre.  

  Hatha felderengenek regi, homalyos emlekek a zenekkel kapcsolatban, amiket 
hajlando lenne velem megosztani.  
Egyuttal keresem a felrakott szamok jobb minosegu valtozatait is.


Minden segitseget mar most elore is koszonok.
 

Szegedi Csaba    			http://goliat.eik.bme.hu/~szcs/mp3
* "Ha nevelni akarsz, hazudni tanitasz." (MAV 759-980403-K08-WC2)

Ui.: Nincs valakinek meg az a The Art Of Noise szam, ami egykoron a Danubius
kozlekedesi hirei alatt szolt?
+ - Maroktelefon: Karos vagy nem? (mind) VÁLASZ  Feladó: (cikkei)

Udv minden Tipp-elonek

Regota figyelem a listat es eleg erdekes kerdesekkel
foglalkoztok. Majdnem mindenre szuletik megoldas.
Ez igen JO!

Van egy kerdesem:
Mennyire karos a maroktelefon hasznalata?

Vannak dolgok, mint peldaul egy hangszoro (az ebresztos
oraban), ami igen erosen reagal a telefonra, amikor az
adasban van. Nem lehet mellette megmaradni ugy sipol.
Ez olyan 2 meteres tavig igaz.
Amikor beszelek a telefon ott van a fejem mellett..  :-o(
Az agyam is igy reagal, csak en nem vagyok olyan hangos?

Ha valaki ert a temahoz kerem ossza meg tudasat a lista
olvasoival!!

Zsolt
+ - Re: eltort vizvezetek (mind) VÁLASZ  Feladó: (cikkei)

Kedves Erika!

Lehet hogy szomoru leszel, de en megis azt ajanlanam, hogy assatok vegig azt a 
40 metert,
mivel az a 60 cm-es melyseg nagyon keves egy vizvezetek szamara. Ennek koszonhe
to esetleg a csotores is,
mivel a fagyhatar kb. 120 cm melyen van.
Ez lehet, hogy sok ido, munka es penz, de gondolj bele, hogy ha egy honapon ker
esztul folyik a viz az mennyibe kerul.

Udv.

~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
~ Erdös Zsolt
~  Hungary kft.
~ mail: 
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
+ - =?iso-8859-1?Q?r=E9szv=E9nyek?= (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok

Keresek reszvenyekkel, bankokkal kapcsolatoss listakat vagy hirleveleket
Minden erdekel ! (hogy lehet feliratkozni, mik a feltetek stb.)

koszi

Litkei Szabolcs  23eves
(magan levelet varok)
+ - Koszonet (mind) VÁLASZ  Feladó: (cikkei)

Szertnem megkoszoni mindazoknak, akik tippeket kuldtek a
régi csotrogany 386 - osom kikerulo alkatreszeinek eladhatosagarol.
A gepem az uj kutyukkel felszerelve egeszen megtaltosodott.
A regi alkatreszeket sikerult eladnom.

Kocsis Arpad
> E-mail : 
Tel.: 06 48 450022
+ - Csotanyszakerto kerestetik (mind) VÁLASZ  Feladó: (cikkei)

Tisztelt TIPP - esek !

A leanyom panelba lakik. Ezen tipusu lakasok jellemzoje az
idonkent megjeleno es nagymertekben elszaporodo csotany.
Eme kelletlen lakotarsak elszaporodasanak megelozesere,
eluzosere lenne szuksegem tutti hazilagosan is megvalosithato
tippre - tippekre.

Elore is koszonom. Arpad

Kocsis Arpad
> E-mail : 
Tel.: 06 48 450022
+ - koszonet (mind) VÁLASZ  Feladó: (cikkei)

Koszonet azoknak, akik segitettek!




---
 * PowerAccess 1.50 A szĄvet az‚rt rejtette el az isten, hogy senki se l ssa.

                                                                               
                                        
>>>>>>> Unregistered PowrMAIL v1.50 for PowerBBS. <<<<<<<
+ - Telefonlopas (mind) VÁLASZ  Feladó: (cikkei)


>Tovabb lopjak.
>Elofizetest szednek, amiert nem tudunk telefonalni !!!
>Mit csinaljunk ?
	Mi azt csinaltuk, hogy a szamunkat csak hivhatova tettuk.
Minket tehat hivhatnak, de kitelefonalni a keszulekrol nem lehet,
csak ingyenes szamra (szuperintelligens !) Egy honap alatt leszoktak
a szamunkrol.

Udv, Gabor
http://javasite.bme.hu/~paller
+ - Szerintetek ez legal ? (mind) VÁLASZ  Feladó: (cikkei)

From:          "Nyulasi Erik" <VPSZK/ERIK>
Organization:  Vasarhelyi Pal Szamitastechnika Kor
Newsgroups: HIRDET
Date:          Tue, 31 Mar 1998 21:38:59 +0100
Subject:       Surgos munka!!!!!
Priority: normal
X-mailer: Pegasus Mail v3.31


Sziasztok !

Kellene 20 ember kopogtatocedulagyujtesre .
Darabonkent 1000Ft a jutalmazas . Szerdan , csutortokon  lenne a 
munka.
A munka lehet hogy 10 perces lehet hogy 2 oras, a Te 
rabeszelokepessegedtol fugg.
Ha erdekel gyere keress meg ma *kedd* ejfelig holnap este 8-tol 24-ig.

Nyulasi Erik
A502 szoba
+ - Praga-i magyarokat keresek (mind) VÁLASZ  Feladó: (cikkei)

Hello mindenkinek!

	Neveket, cimeket, telefon szamokat, Emil-cimeket varok
	Pragaban(mellett) elo ismeroseitekrol, rokonaitokrol.

Koszi
				Attila
+ - Datumszamolas a gepen - help (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

Nem tudja valaki a valaszt a kovetkezo problemara?

A PC-n 1990. januar 1-et 1-tol szamozzak
A Macintoson pedig 1990. januar 1-et 4-tol szamozzak.

De miert pont 4-tol?

Ha valaki tudja, kerem, irja meg magan emailre!
Elore is koszi,

                        Ildy
+ - Zala-info levelezolista (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

A nagykanizsai Sienet Kft. gondozasaban nemreg beindult a
Zala-info nevu levelezesi lista.

A lista azt probalja elosegiteni, hogy a zalai internetezok
barmely temaban segitseget tudjanak egymastol kerni. Tehat
a listan feltehetok kulonfele kerdesek, felvethetok problemak,
feladhatok hirdetesek. Aki tud segithet a masiknak problemaja
megoldasaban. A lista nem moderalt. :-)

Iratkozzon fel On is a listara, hogy minel tobben tudjunk egymassal
kapcsolatba lepni, egymasnak segiteni!

Kerem, terjessze ismerosei koreben is a Zala-info listat, hogy ok
is csatlakozzanak hozzank, megkonnyitve egymas eletet!

A listara feliratkozni ugy lehet, hogy az erdeklodo kuld egy
levelet a      cimre. A level szovegeben 
(torzseben)
ez kell, hogy alljon:

"subscribe zala-info"

vagy

"subscribe zala-info-digest"


A listara kerdeseket es valaszokat a        cimre 
kell kuldeni.


Tisztelettel:

Agoston Laszlo

Honlap: http://www.geocities.com/Area51/2413/
+ - REAL MADRID (mind) VÁLASZ  Feladó: (cikkei)

Tisztelt Sport rajongok !

Az egyik baratom Madridban jart es hozott egy a REAL MADRID
jatekosai altal 1998. marcius 29-en dedikalt labdat.
Garantaltan eredeti.
Licitalni a  E-mail-on lehet.
Csak par napig.
Kerlek add tovabb, sajna meg kezdo NETezo vagyok.

For auction !
A football signed by the players of the REAL MADRID 
on 29.th of march 1998.
Please, forward your ofters to E-mail of 
The very best offer will win on 6.rd of april.


H.Jozsef
+ - apr. 4.-rol szoljon a... (Fotoklub) (mind) VÁLASZ  Feladó: (cikkei)

Mea Clumpa... - valaki osszekeverte a datumokat (en).

Fazekas Zoltan irta:
>>Azt mondod, hogy klubnap kethetente csutortokonkent, illetve

Ezt idezte tolem:
>>>Idezet a programbol:

>>>IV.4. Kerdezzen... German Peter
>>>IV.23. Fabian Andras fotoi - "Beszamolo Mexikorol"
>>>V.7. Kerdezzen... Fabian Andras 
>>>V.21. Autos kirandulas Leanyfalura, hazigazda: Ovari Arpad

Ezt O:
>>Aprilis 4-e (meltatlanul elfelejtett nemzeti unnepunk O:-) iden
>>szombatra esik.

Ezt en:
>Bocs. Ez tenyleg vicces. Meg apr. 1. is csak szerdara esik.
>Nyilvan Sujto Huba keze van a dologban. 
>Pedig nyomdasz klubtag is van. :-)
>Gondolom 2-an van (volt :-)) a klubnap.

Sot, kesz rohej...
Nos amig meg a Gabor-naptar nem valtja fel az elavult Gergely-
felet az iden meg aprilis 4 valoban szombaton van.

Elnezest az alaptalanul megvadolt nyomdaszoktol is, 
valamint ha valakit felrevezettem volna az a 4-e ami szombatra 
esik, az tovabbra is csutortokon lesz, de nem 2-an, hanem 9-en.

Tehat helyesen: IV._9._ Kerdezzen... German Peter

Meg jo, hogy hangsulyoztam: ilyenkor nem erdemes lemenni... :-)
Majd kapok a pofamra... (Toletek is? Remelem tegnap nem volt senki!)

Most latom a programban: 
Tanfolyam
-fotos: 1998.02.18. (A kovetkezo tehat szept. kozepen esedekes)
-videos: --- (Talan erdeklodes hianyaban :-((()
A klubvezetoi-igazolvanynak (:-) ilyet kapsz sikeres vizsga utan)
meg egy elonye van: fotosfelszerelessel nyomatekositva _esetleg_ 
azt hiszik fotoriporter vagy -> talan beengednek koncertre, 
meccsre, stb... :-)))

A klubbal - gondolom - addig is lehet ismerkedni. :-)
Cim: Budai Foto es Filmkub, 1113 Bp., Villanyi ut 28/c. 
Tel: 185-84-22 klubnapokon, egyebkent rogzito. 

Remelem ez a par level meg nem kereskedelmi mennyiseg. :-)
Rengeteg (ezer) medvecsemete :-)

Bata Ga'bor
mailto:
(ékezet is érkezhet)
+ - Re: Autos lista (mind) VÁLASZ  Feladó: (cikkei)

Szervusztok sok AUTO-sok!

>Azt hiszem elegendo jelenkezo osszejott ahhoz, hogy kiserletet
>tegyek egy autos lista beinditasara a HIX keretein belul.
(...)
>(Ha beindul a lista, azzal fogom kezdeni, hogy leirom
>tapasztalataimat arrol a Daewoo Nubira-rol, amelyet egy hetig
>korlatozas nelkul hasznalhattam.)

OK. De ugye a TIPP-be is elkuldod? :-)

Ha szabad, egy ellenjavaslatot tennek a listainditashoz, onzo 
szempontok alapjan:
-A tema engem is erdekel, megha egyre kevesbe erint is. :-(
   (Ki tud ma itt hobbibol autozni?)
-Itt a TIPP-en meg elfer, amig meg 200 kB/nap alatt lesz.
   (A GURU mar egyizben meghaladta, legalabbis januar vegeig.)
-A HIX-rol 4 lista jar nekem (TIPP,GURU,MOKA,HANG), attol 
felek, egy ujabb nem fer bele az ido/nap, kb/s, MB/cm2 keretbe.

Legkedvesebb listam a TIPP, mert:
   (Keretik kellokeppen meghatodni! :-))
-rendkivul szeles temakort fog at
   (az AUTO-tol a Zeneig, szerintem a HANG is elferne meg itt)
-keves a keshegyig meno vita 
   (<>GURU (bocs!), a RANDI megjobb?)
-egy szam epp egyszuszra olvashato meretu
   (Sajnos a GURU-val januar vegen lemaradtam :-(,
    de igerem bepotolom :-))

Ha nem igy gondoljatok, szereny szemelyemben elveszitetek egy 
potencialis AUTO-olvasot. :-) Tudom nem kotelezo...

Bata Ga'bor
mailto:
(ékezet is érkezhet)
+ - Valalkozas (mind) VÁLASZ  Feladó: (cikkei)

Hi All
Szerintetek mia az a valalkozas, amibe belelehetne kezdeni es valoszinuleg
menne is. Amig nem altam ilyen "problema" elott, addig csak ugy szortam az
otleteket, de most nagyon bizonytalan lettem...
Kivancsi vagyok az otleteitekre. TIPP-ekre. termeszetesen tudom, hogy nagyon
fugg attol is, hogy milyen helyen indulna a dolog, tehat egy kisvarosrol
lenne szo a Del-Dunantulon.
Az anyigakrol, csak annyit, hogy szuken kene venni.
Varom az otleteket, ide a TIPP-be is.
+ - Skorpiot abr. karakterabra... (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!
Keresek skorpiot abrazolo karakterabrat. HA tucc segiteni, irj!
Cimem: 
Koszi!
     Skorpio


http://wowmail.com - Click here to get your FREE anonymous email account!
+ - Re: nyari idoszamitas (mind) VÁLASZ  Feladó: (cikkei)

On Wed, 1 Apr 1998, Toth Andras wrote:

>De akkor miert csak 1 orat? Junius-juliusban kb. reggel 4-tol este 8-ig
>van vilagos, nyugodtan lehetne neki adni meg 2 (de legalabbis 1) orat.
>Ill. ha mar mindenkepp allitgatni akarjuk, akkor miert nem akkor valtjuk
>at, amikor a keves vilagost kene minel jobban kihasznalni? Bar a 8-tol
>4-ig pont megfelelo a normalisabb munkahelyeken... (itt felenk meg mindig
>a 6-2 az elterjedtebb)

Mi az idozonank keleti reszen elunk, a nyugati resze tobb mint egy oraval
odebb van. Annak pedig megint van ertelme, hogy egy idozonaban legyunk
Europa tobbi reszevel. Masreszt az elet nalunk szokas szerint amugy is
korabban kezdodik reggel, mint mondjuk Franciaorszagban (annak legalabbis
a Genf melletti reszen).

HP
+ - Re: daylight saving (mind) VÁLASZ  Feladó: (cikkei)

On Wed, 1 Apr 1998, Toth Andras wrote:

>>Szerintem a vilagitason lehet sporolni, mert reggel mar igen koran
>>vilagosodik, hajnali 5 korul, amikor sokkal tobb ember akar aludni, mint
>>este 8-9-10 korul
>
>Es telen este 6-kor? (elsosorban ezt a reszet nem ertem a dolognak)

Itt azt hiszem arrol is szo van, hogy ha egyszer a munkahelyen valaki
felkapcsolja a villanyt, akkor konnyebben ugy is hagyja.
Azonkivul nemcsak a tel van, hanem tavasz es osz is, amikor reggel
talan kevesbe gyujtja fel az ember felhomalyban a villanyt, amikor
ugyis vilagosodik. Telen talan az is szempont, hogy a reggeli kozlekedesi
csucs, amennyire lehet, vilagosban menjen, biztonsagi okobol. Az otthoni
vilagitas nem szamit annyira, bar mire az ember a munkahelyere er
(hivatalba) akkor ott mar nem gyujt villanyt (es felejti ugy).

>Vmint. hogy viszonyul az esti lakossagi fogyasztas (elsosorban a
>vilagitasra forditott resze) a napkozbeni ipari fogyasztashoz? Megeri
>emiatt a kavaras?

A pontois adatokat nem tudom, az ELMU a megmondhatoja, de kozolni szoktak
a megtakaritas merteket. Azert nem ezen fogunk meggazdagodni, bar ki
tudja ;-)

HP
+ - Re: Autok (mind) VÁLASZ  Feladó: (cikkei)

>ELADO!!!
>Fiat Ducato MAXI 2,5TD
>Szervokormany
>1992 XII.ho 180.000 km
>kis hibaval, 4db uj gumival
>ar: 1.350.000 Ft
>
>Erdeklodni lehet:   Marik Gabor  06-20-438-384

Legyetek ovatosak: a kis hiba az, hogy a kocsit kozepen kettefureszeltek.
Ezert az a subject, hogy "Autok"...

Bocs, nem tudtam kihagyni...


La'ng Attila D.  >  (latom az ekezeteket)
+ - Telekocsit keresek (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

Telekocsit keresek 1 szemelynek 1998 aprilis  18-19-ere 
Magyarorszagrol (lehetoleg Budapest) Nemetorszag nyugati resze fele 
(Duesseldorf, Koln, Aachen... )  Benzinpenz, szendvics van :-) Nem 
megy valaki arrafele?

e-mail:   

Krisztian
+ - Lakast berelne Majus 1-tol (mind) VÁLASZ  Feladó: (cikkei)

Majus 1-tol (hosszu tavra) Budapesten lakast berelne egy kulfoldi 
ismerosom. Kozponthoz lehetoleg kozel eso, konnyen megkozelitheto, 
kb. 50 m2 teruletu telefonos lakast szeretne berbe venni (35-40.000 
Ft / ho). Az otleteket, segitsegeteket nagyon szivesen fogadnank. 
Visszajelzeseteket varjuk a fenti E-mail cimen, vagy a 214-8315 -os 
telefonszamon (Josh). Koszi. R.Csilla
+ - wanted winyo (mind) VÁLASZ  Feladó: (cikkei)

Sziatok!

80-200 MB-s hasznalt wincsesztert keresek. 
Tudnek oertuk fizetni huszad annyi ezrest, ahany mega. (n.gy.k: 80-4000,
200-10000stb..)

Cha
********************************
 Champace
 E-mail: 
 UIN: 1304650
 Homepage: http://www.geocities.com/SouthBeach/Sands/2668
********************************
+ - koncertfelvetel (mind) VÁLASZ  Feladó: (cikkei)

> tud valaki tanacsot adni, hogyan keszitsek koncerteken felvetelt egy
> diktafonnal, ugy, hogy elviselheto minosegu legyen? vannak valakinek ilyen
> iranyu tapasztalatai? segitsetek!

Hat, jopar eve atalakitottam egy walkmant, hogy tudjon felvenni,
es magammal vittem par koncertre a zsebemben. Eleg mulatsagos volt 
visszahallgatni, mert hallatszott a zene is, de ami sokkal jobban
hallatszott, az az volt amit en enekeltem kozben :)
Udv: Po:li
+ - Birosagi felulvizsgalat (mind) VÁLASZ  Feladó: (cikkei)

Hello
Joghoz erto olvasoktol szeretnem kerdezni, hogy jogom van-e birosagi
felulvizsgalatot kerni es kapni egy rendorsegi szabalysertesi hatarozattal
szemben?  
Letezik az, hogy a rendorseg jelent fel a rendorsegnel, majd a rendorseg 
biralja el, hogy jogos volt-e, es a rendorseg donti el, hogy fordulhatok-e
birosaghoz a rendorseggel szemben?
Most ugy nez ki, hogy fel evvel ezelott benyujtottam egy ilyen 
kerelmet, es a rendorseg most (!) egyszeruen elutasitotta. (A rendorsegnek 
kellett cimezni, de a birosaghoz szolt a kerelem) 
Eleg surgos lenne a valasz, mert barmikor kijohetnek ertem a rendorok es
elvihetnek bortonbe, a fel evvel ezelotti hatarozat ertelmeben.
("menetlevel" ugyrol van szo, meg sarvedo gumi) Nem aprilisi trefa :(
Udv: Po:li
+ - repulo (mind) VÁLASZ  Feladó: (cikkei)

On Wed, 1 Apr 1998 02:06:58 EST,   wrote:

>u.i.: Nem tudtok egy magyar nyelvu, repulesrol szolo lev. listat??

Ha van ra igeny es jelentkezo, es HIX Jozsi nem tervez ilyesmit,
minden tovabbi nelkul beindithatok egyet, csak szoljatok. 
Nem kizarolag repulessel (de azzal is), hanem egyeb
haditechnikai/hadtorteni temakkal is foglalkozik a kovetkezo lista,
amiken az info-filejebol egy reszletet idemasolok:

+++++++
 ...levelet irni a listara:
A cim: 

 ...feliratkozni:
Level  cimre, a tartalom: subscribe agyu-l

 ...lekerdezni, hogy kik vannak a listan:
Levek  cimre, a tartalom: who agyu-l

 ...segitseget kerni az egyeb Majordomo parancsokrol:
Level  cimre, a tartalom: help

 ...elerni a lista gazdajat, ha valami gondom van:
Level  cimre. Probald meg minel pontosabban
leirni a problemadat.
+++++++++

http://hal2000.elte.hu/fegyver cim alatt pedig a Fegyver Levelezolista
honlapjat es informacioit lehet megtalalni.

Udv:  Zak

(latom az ekezeteket...)
+ - kettos adozas Magyar-Japan es USA Japan viszonylatban (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok, udv!

Kerdesem lenne. Tud valaki arrol, hogy ha egy magyar allampolgar
meghivas alapjan Japanba megy kutatomunkat vegezni, milyen adoszabalyok
vonatkoznak ra? Mire kell figyelni a tervezesnel?
Potkerdesem is lenne: tud valaki arrol hogy mi a helyzet akkor ha egy USA
"rezidens alien" teszi ugyanezt?

A Magyarorszag es az USA kozotti kettos adoztatast es az adozas elkeruleset
kizaro egyezmeny ket ev adomentesseget biztosit az egymas orszagaba
latogato kutatoknak. Tudtok valami hasonlo egyezmenyrol Japannal kapcsolatban?

Ha valakinek egyeb tanacsai lennenek egy japan tanulmanyuttal kapcsolatban,
minden infoert halas lennek.  
Elore is koszonom, udvozlet

tamas
mailto:
http://www.bact.wisc.edu/scientists/tamas/gaal
+ - Re: *** HIX TIPP *** #2800 (mind) VÁLASZ  Feladó: (cikkei)

>>   Krisztina
>
>Hiaba csalogatsz, akkor se megyek a magancimedre! Ha titkolni akarnad,
>azert is tudja meg az egesz TIPPvilag, hogy:Berenyi Zsuzsa - babajavito
>es babukeszito kisiparos
>1053 Budapest
>Muzeum krt. 5. (Astoria mellett)
>ny: 9.30 - 17.30
>tel.:(1)-267-2445
>
>Egykedvestippelo :-)

Nagyon ugyes lehet, mert Budapestrol visszatert olasz vendegeim -nem csak
egy- is aradoztak rola. Hogy honnan fedeztek fel, nem tudom.
Ez sem reklam akart lenni, mert nem ismerem, meg nem volt alkalmam felkeresni.
Udv mindenkinek:
Vegh Izabella-Velence
+ - kerdes (mind) VÁLASZ  Feladó: (cikkei)

Hello Tippelok!
Nagyon orulnek, ha valaki egy kozepesen ertelmes dedos szintjen - ez vagyok
en a fizikai tudomanyokban - roviden elmagyarazna nekem, hogy miert kisebb a
veszteseg akkor ha az elektromosaramot nagyfeszultsegure alakitva szallitjak
a tavvezetekeken. Esetleg egy kis szamitasi peldaval is alatamaszthatnok.
Ne vegyetek aprilis 1-i trefanak, valoban erdekelne a valasz, mert ami
gyermekem fizika konyveben van az csak sajat magat magyarazza meg, nem a
jelenseget.
Ha valaki segiteni tud, termeszetesen magan levelben tegye, nehogy
valamelyik tippelo felindultsagaban lelocsoljon bennunket.
Kosz elore is
Gyorffy Arpad -    -  Nagyvazsony
http://www.infornax.hu/~gyoker  Szabadido - friss programok a Balaton eszaki
partjarol
Foldi Utikalauz Stopposoknak
+ - upponyi hegyseg,Anyegin,kioldozsinor (mind) VÁLASZ  Feladó: (cikkei)

Kosz szepen itt is az upponyi hegyseggel kapcsolatos
          info-kat. (Bukktol eszakkeletre van) En a falusi turizmus
          cimszo alatt akadtam ra veletlenul az AltaVistan
          Mas. Valaki irta a fenykepezogepekkel kapcsolatosan hogy
          kell kioldozsinor. Hajh! Mar lassan negyedszazada, hogy
          utoljara lattam olyat! Hasznalja me'g valaki az aruhazi
          kisbabafenykepeszeken kivul?
          Mas: Most olvasom valahol, hogy Puskin Tatjana levelet
          Anyeginhez az eredetiben franciaul irta. Szerintetek igaz
          lenne ez? Akkor mi mifele Ja kvam pisu csivo zse bo'leje
          szovegeket magolasztunk be annakidejen?
          Mas: Itt az USA-ban csak aprilis 25-tol lesz nyari
          idoszamitas. Ugyhogy addig 10 ora az idokulonbseg. Viszont
          azt tudom, hogy telen azert nincs, mert nem akarjak, hogy a
          gyerekek sotetben menjenek iskolaba, nehogy elcsapja oket
          valami kamion.
          Mindenkinek bekes Husvetot!
          Sulyok Tamas
          Los Angeles
+ - kozepso 3-ik feklampa (mind) VÁLASZ  Feladó: (cikkei)

Hirugynoksegek jelentik:
          Washington, 1998.marcius 30.
          Az Orszaguti Biztonsagi Szolgalat altal kiadott tanulmany
          szerint a kozepso, 3-ik feklampa evente legalabb 92 ezer
          karambolt es 58 ezer serulest eloznek meg (az USA-ban).
          Ez a feklampa csokkenti a hatulrol valo belecsuszasok szamat
          azaltal, hogy a vezetot figyelmezteti, hogy az elottuk
          halado jarmu vezetoje beletaposott a fekbe.
          Ez a 3-ik feklampa a hatso ablak aljara van szerelve,
          altalaban, es a ke't konvencionalis feklampaval haromszoget
          alkot. A kozepso feklampa a hatulso auto vezetojenek
          szemmagassagahoz kozelebb van, es ezert jobban lathato.
          1986 ota kotelezo a szemelygepkocsikon es 1994 ota a
          kisteherautokon es dzsipeken.
          ============================================================
          Termeszetesen, Magyarorszagon be van tiltva es a bevitt
          autokon ki kell kapcsolni. (fordito epe's megjegyzese)
          Sulyok Tamas
          Los Angeles
+ - Re: katonasag (mind) VÁLASZ  Feladó: (cikkei)

NeTuddKi
*** Nevtelen TIPP Levelezo ***  <*** Nevtelen TIPP Levelezo ***> wrote:
>Udvozlet!
>
>Ma hallottam egy pletykat, hogy felemeltek, vagy megszuntettek a
>sorkatonai szolgalatra vonatkozo korhatart, ami eddig ugy tudtam 28 ev
>volt. Vagyis ha eddig nem vittek el, ezutan mar bekenhagytak az embert.
>
>Most mi az igazsag?
>
>Nekem meg van vagy masfel evem, ugy gondoltam, azt mar kibirom fellabon
>is valahogy.
>
>Koszonettel:
>(bocsanat, de)
>
>NeTuddKi
Bizony a korhatar joval magasabb is lehet egyes esetekben. Ha elolvasod a
Honvedelemrol szolo torvenyt, akkor ott meg a 40 eves korhatar is elofordul,
ugyhogy erre ne nagyon epits. Tanulmanyi szolgalathalasztast is a trv. 
szerint ugy adnak, hogy azzal 30 eves korodig is behivhatnak, es akar a 
tanulmanyokat is megszakittatjak. Amiben bizhatsz, az a leendo NATO tagsag,
es a tervezett tisztan zsoldoshadsereg felallitasa. Ha ez utobbi elobb 
valosul meg, mint ahogy behivnanak, akkor nem leszel sorkatona.(Erre az 
eshetosegre viszont ne szamits meg az ezredfordulo elott, es az azt koveto 
2-5 evben sem). Masfel ev alatt pedig meg joparszor behivhatnak 
(februar-majus-augusztus-oktober a behivasi honapok). Az egyetlen biztos 
elkerulesi mod, ha a behivas elott mar megszuletett a harmadik gyereked, es 
csaladfenntarto vagy.

Udv.
'BAT'
+ - Tavoktatas (mind) VÁLASZ  Feladó: (cikkei)

Hello Tippelok !

Egy kis segitsegre volna szuksegem.
Szeretnek tavoktatasi formaban informatikat vagy gazdasagi informatikat
tanulni.
Ha tudtok iskolarol, cegrol, szervezetrol, amelyik indit ebben a temaban
tavoktatasi kurzusokat, kerlek irjatok meg nekem.
Egyelore a Gabor Denes foiskolarol tudom, hogy ott letezik ilyen.

A BME-n van tavoktatasi kozpont, de az informatikai kepzesrol semmi
kozelebbit nem sikerult megtudnom.

Minden hasznalhato informaciot koszonettel vennek, mint pl.
telefonszamok, kontektpo:rszu:n neve, kb milyen arban merik a
tanfolyamot, milyen vegzettseget ad, stb.

Elore is koszi

Feraji Arpad
+ - Tu-144, Concorde (mind) VÁLASZ  Feladó: (cikkei)

Ferihegyen is megjelent egyszer egy Tu-144, talan 70-71 telen. A latogatas
celja a "testveri baratsag elmelyitese" volt, nehany szerencses riportert
megroptettek.  Hogy lathassam a gepet, jomagam is elvergodtem Ferihegyre.
A gep kozelebe sem jutottam, akkoriban meg a nezelodest sem vettek jo
neven, a kilatoterasz el volt zarva az egyszeru foldi halandok elol.
Keptelen vagyok arra visszaemlekezni, hogy vegul sikerult-e valahol
kikukucskalni a csodara.

A szuperszonikus polgari repules elsorvadasahoz hozzajarult az amerikai
gazdasagi erdekek eroszakos ervenyesitese is. Az amerikai SST egyszeruen
elveszitette a versenyt. Az viszont fontos volt, hogy mas se nyerhessen,
hisz a repulogep eladas oriasi uzlet (ma ez az USA fo exportiparaga). Az
erdekeltek kampanyt szerveztek a Concorde megbuktatasara. Alacsonyan
szallo vadaszgepekkel par het alatt 1500 hangrobbanast produkaltak St.
Louis felett. A beerkezett 15000 panaszoslevelre hivatkozva a Concordenak
mar kiadott leszallasi engedelyeket joreszt visszavontak. Utvonalak
hianyaban senki sem rendelt gepet - ezert valt azutan az eredeti 20-30
gepes flotta uzemeltetese dragava, a gep tovabbfejlesztese finansziroz-
hatatlanna.  Igy olvastam egy kozkonyvtarban talalt McDonnell-Douglas
kiadvanyban.

PJ
+ - Re: y2000 problema. (mind) VÁLASZ  Feladó: (cikkei)

>..."nyugdijasokat es munkanelkulieket is kepezenek ki az "idozitett
>bomba" elhartitasara." Hat ez mar igen komoly bomba lehet, ha nem eleg
>a szakembergarda, de kell az egesz lakossag osszefogasa is.
>  Felre a trefat. 

Ez inkabb szomoru...

>Szerintem az a veszely, amit a cikkben irnak,
>tulzas. Marmint, hogy adatok vesznek el. Meg, hogy a gepek
>tonkremennek. Legfeljebb nem tudja a gep korrektul ertelmezni a regi
>vagy az uj adatokat, de maga az adat nem megy tonkre. Vagy igen?

A vilag megmentese celjabol (full backup utan) a datumot mereszen 
1999.12.31:23:59:30 -ra allitottam es dermedten vartam. Utana DOOM, 
egyeb jatekok, adatbazis, etc. Adatvesztest, robbanast, futolgest 
nem tapasztaltam. 

PEter
+ - lezerbigyo (mind) VÁLASZ  Feladó: (cikkei)

>En egy muszeresztol hallottam, hogy ezek a bigyok nem lezer fenyt
>bocsatanak ki magukbol hanem ezek allaitolag magasfenyu fokuszalt
>LED. 

Nem lehetetlen, de konnyu ellenorizni: nezd a piros foltot 
polarszuron keresztul (kvarcorabol nyerheto), es ha elhalvanyul a 
szuro forgatasakor, lezer.

>Nekem mutattak egy ilyen kulcstartos ?lezer? bigyot, de az
>eszrevetelem az volt, hogy ezek valoban !nem! lezer mutatok. A
>lezernek van egy olyan tulajdonsaga, hogy a fenyfolt nem novekszik
>(remelem erthetoen irom) Amit en lattam annak a fenyfoltja igencsak
>megnott 10m-nel kb 6-szorosara. 

A lezerpointer aranak jelentos resze az un. kollimacios optika, ami a 
lezerdioda divergens fenyet parhuzamositja. Olcso lezerhez olcso az 
optika is, ezert lehet a lezerbigyo igazi lezer.

>A masik eszrevetelem viszont az
>alacsony ar! Tudtommal egy lezer feny persze teljesitmenytol fuggoen
>igen draga. 

Nem lenyegesen bonyolultabb egy lezerdioda eloallitasi technologiaja 
, mint egy LED-e. Nem kizart, hogy 6,000-ert "igazi" lezert kapsz, 
persze gyenge kivitelezesben.

PEter
+ - Re: Idezetek... (mind) VÁLASZ  Feladó: (cikkei)

> Felado :  [United States]
> Temakor: Idezetek... ( 76 sor )
> Idopont: Thu Apr  2 02:18:49 EST 1998 TIPP #2800
> - - - - - - - - - - - - - - - - - - - - - - - - - - - -
> 
> Nos, akkor folytatom...
> ... 

Igen, igen, meeeg!

Legalabbis en nagyon varom a kovetkezo reszt!

udv.Akos
+ - Erzekek iskolaja (mind) VÁLASZ  Feladó: (cikkei)

sziasztok,
a filmhez gyujtok anyagot, aki tud, segitsen nekem.
. jpte. hu
+ - 200-asok sokat ernek!! (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!


Az elobb olvastam a teletextben: A MNB azonnali hatallyal kivonta a 200Ft-os
ermeket a forgalombol, mivel az ezust aranak emelkedese miatt az anyagaruk
tobb, mint a cimletertekuk.

- Hany % ezust van bennuk?
- Mennyit erhet egy 200Ft-os?
- Te mennyit adnal erte?? (Van 70-80 darabom tartalekban.)


Udv: Csaba

Husveti kepeslap es link locsoloversekre a
http://members.tripod.com/~Ketszeri/husvet.htm lapon.

Ke'tszeri Csaba          Igal-Beach
UIN : 3883877

Biztonságos szex: elôször is leparkolsz az autóval...
+ - Locsolkodas#2 (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

Ha valakit kimeritobb info erdekel, akkor menjen el a M?L benzinkutakhoz, mert
a mostani M?L 2000 ingyenes ujsagban egy reszletesebb cikk van.

Ha "nemzetkozi erdeklodes" van, akkor esetleg begepelem, es kiteszem a
lapomra.

Udv: Csaba

Husveti kepeslap es link locsoloversekre a
http://members.tripod.com/~Ketszeri/husvet.htm lapon.

Ke'tszeri Csaba          Igal-Beach
UIN : 3883877
+ - Re:Allatokrol (mind) VÁLASZ  Feladó: (cikkei)

>Elo"hasi su:ldo" elado,
>Elo"hasi u:szo" elado...most mar jo lenne tudni, hogy ezek mit
>jelentenek.

Elo"hasit mar hallottuk.

Su:lldo" : Malac, ha jol hiszem, akkor 30-60Kg kozotti sulyban.

U:szo"   : Borju. Kicsi tehe'n. :-) Talan fiu tehen, akkor viszont nem tehen,
hanem bika. Bikuci. :-)

Tud valaki ilyen szavakat magyarzo helyet, vagy csak a Magyar Ertelmezo
Keziszotar ?


Udv: Csaba, aki faluru gyu:tt.


Ke'tszeri Csaba          Igal-Beach
UIN : 3883877
+ - Keresem... (mind) VÁLASZ  Feladó: (cikkei)

T. Listaolvasok,

Keresem Kiss Karolyt, aki 1997-ben meg a PANNON GSM veszpremi
kepviseleten dolgozott... sajnos telefonszama, email cime
megvaltozott/megszunt. Ha valaki ismeri vagy tud rola (vagy esetleg
olvasod a TIPP-et ?-) kerem irjon. Fontos lenne megtalalni...

udv.
Ujbanyi Vilmos
Telefon: 0620-463-209                 mailto:
SMS mailto:      mailto:
+ - koltozes Amerikabol (mind) VÁLASZ  Feladó: (cikkei)

Kedves Tippelok,



Tudom, hogy nem en vagyok az elso es minden bizonnyal nem az utolso aki 
hasonlo kerdessel fordul a Tippelokhoz...

Hat ev alatt osszegyult cumot kellenne valahogy atszallitani Minnesotabol
Amszterdamba vagy Rotterdamba (ahol kikoto van). 

Az eddigi informaciok olyan ijesztoen draga arakrol szolnak, hogy
jobban megerne el sem kezdeni a koltozest, hanem mindent kidobalni:
Egy db 1.5 m3-os doboz atszallitasa $ 500 korul van es hat
ennel azert joval tobb lenne a motyo. Hat ev azert az nagy ido.  


Ha valaki hallott valamilyen elfogadhato megoldasrol, kerem ossza meg
velem. 


Koszi,


Izsvak Zsuzsa
+ - [HIRDETES] vitorlas eledo (mind) VÁLASZ  Feladó: (cikkei)

Hali !

Egy baratom aruba bocsajtana Polaris CT-25-os hajojat.
Ez egy tokesulyos ,7szemelyes szepen megtermett ladik.
10 eves .
Iranyar tokkal vonoval: 1.2 millko

Erdeklodni telefonon lehet !

85-457444  Doszlop Istvan
Balatonboglar----------
+ - [HIRDETES] Konfig Elado... (mind) VÁLASZ  Feladó: (cikkei)

Elado egy komlett konfig:

Alaplap: 	Tomato 5DTX
Proci:		Intel MMX-233
Videokártya:	S3 VIRGE DX 2M (4M)
Hangkártya: 	ESS-16
RAM:		32M SD
Vinyo:		3.2G Quantum Fireball ST (UDMA)
Floppy:		3.5''
CD:		24x Panasonic
Monitor:		15'' Daewoo CMC-1511
Aktiv hangfalak:	120W
Billentyu:	105gombos (W95)
Eger:		Genius
OS:		Win95OSR2 (jogtiszta, regisztralhato)

1 ev garancia!!!!!!!!

iranyar: 200.000 HUF

Boccs, ha valamit kifelejtettem...
Ha kerdesed van, szivesen valaszolok...

		
		30-620-745
+ - [HIRDETES] 387-es koprocit vennek (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

Ha van elado 387-es koprocit, vagy tudod, hogy honnan tudnek szerezni, akkor ir
j
a  cimre! 
Elore is koszi!


     Skorpio


http://wowmail.com - Click here to get your FREE anonymous email account!
+ - [HIRDETES] 2030 Concert lemezjatszo WANTED! (mind) VÁLASZ  Feladó: (cikkei)

Keresek megvetelre regi Concert 2030-as lemezjatszot.
Nem problema, ha a mechanikaja rossz, a lenyeg az elektronikai resz!
Ha van, vagy tudsz eladot IRJ!


 
_________________________________________
Get your free vanity email address at
http://www.MyOwnEmail.com
+ - [HIRDETES] Alkatreszek kerestetnek (mind) VÁLASZ  Feladó: (cikkei)

Hello Tippelok !

Az alabbi alkatreszekre vevo volnek:

P100-133 proci 
500-600 M vinyo
Color VGA vagy SVGA monitor
CD ROM 2x-tol felfele
S3 TRIO 64+ PCI VGA kartya

Arat kerlek, irjatok. Udv - VGY
+ - [HIRDETES] Egy kerdes (mind) VÁLASZ  Feladó: (cikkei)

Udv!

Meg tudna nekem azt mondani valaki hogy miert van az ha WIN 98-at hosszabb
idore hagyok uzemelni,es nem szukseges hogy a monitor bekapcsolt allapotban
legyen,(Eppen ezert kikapcsolom),es mikor arra kerul a sor hogy bekapcsoljam,
a monitor bekapcsolasa egy RESET-et valt ki a gepbol.Miert van ez?

Azelott a WIN 3.1 alatt ilyen gond nem volt.

KOszi a valaszt

Ui:A gepen telefon-uzenetrogzito progi fut,ezert nem kell a monituro.

-Z-
+ - [HIRDETES] Az abszolut vegyes hirdetes (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

A hirdetesben lehet, hogy talalkozol majd meglepo reszekkel. De
ezek is komolyak!

1. Keresek MERCEDES 108-as (250-es) 1968-as kiadasu autohoz
szelvedot kerettel es bal elso ajtot. Ha esetleg bontasra tudsz
ilyen kocsit, akkor is kerlek irj!

2. SZAMITOGEPHEZ keresek 3,5-es FDD-t, 512k-os videokartyat,
hazat tappal, 2*16, vagy 2*32 Mb EDO RAM-ot.

3. Elcserelnenk egy kis onkormanyzati LAKASt (nem sokara 
megvasarolhato az onkormanyzattol) egy kicsit nagyobbra 
ertekegyeztetessel: +1 szobara lenne szukseg. A lakasrol: 29
m2-es, VII keruleti, telefonos, kozel van a Ligethez,
gazfuteses, I. emeleti amerikai-konyhas, van benne egy 9 m2-es
galeria

4. Nos... A most kovetkezo hirdetest lehet hogy a Randiba kene
irni, de hatha itt is... A LENYEG: van  egy nagyon kedves,
kolleganom, aki maganyos. 27  eves, csinos, fuggetlen, jo humora
es ket gyermeke van. Szeretne egy tarsat (nem ferjet), jo
baratot, akivel elmehetnenek szorakozni, tancolni, kirandulni,
akivel tudnak elvezni az elet oromeit! Orulnek neki ha egy jo
tarsra lelne. Lehet, hogy Te vagy az? Irj nyugodtan!

5. Tudnatok irni olyan NOI KEZILABDA CSAPATot, vagy egyesuletet,
ahol szivesen latnanak egy nehany ev kihagyas utan visszatero
jatekost? Jo lenne ha az edzesek az esti orakban lennenek heti
2-3 alkalommal.

6. Van 140-150 komolyzenei CD-m. Szivesen megvalnek nehanytol
700-1000 kozotti aron. Tovabba akad itt egy vagon Nemere,
Berkesi, egy-ket Merle, Jokai konyv. Ha van amit keresel kuldj
egy mailt!

7. Most meg MIRE VARSZ? Kapaszkodj a billentyuzetbe es kuldj egy
mailt a cimemre!

Udvozlettel

Foldes Peter (alias Snoopy)
Tel.:322-6859
E-mail: 

AGYKONTROLL ALLAT AUTO AZSIA BUDAPEST CODER DOSZ FELVIDEK FILM FILOZOFIA FORUM GURU HANG HIPHOP HIRDETES HIRMONDO HIXDVD HUDOM HUNGARY JATEK KEP KONYHA KONYV KORNYESZ KUKKER KULTURA LINUX MAGELLAN MAHAL MOBIL MOKA MOZAIK NARANCS NARANCS1 NY NYELV OTTHON OTTHONKA PARA RANDI REJTVENY SCM SPORT SZABAD SZALON TANC TIPP TUDOMANY UK UTAZAS UTLEVEL VITA WEBMESTER WINDOWS